11573/1749837 - 2025 -
Efficient implementation of linearUCB through algorithmic improvements and vector computing acceleration for embedded learning systems Angioli, Marco; Barbirotta, Marcello; Cheikh, Abdallah; Mastrandrea, Antonio; Menichelli, Francesco; Olivieri, Mauro - 01a Articolo in rivista
paper: ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS () pp. 1-23 - issn: 1539-9087 - wos: WOS:001547538500006 (0) - scopus: 2-s2.0-105012393308 (0)
11573/1753186 - 2025 -
Eight-bit vector softfloat extension for the RISC-V spike simulator Marcelli, Andrea; Cheikh, Abdallah; Barbirotta, Marcello; Mastrandrea, Antonio; Menichelli, Francesco; Olivieri, Mauro - 01a Articolo in rivista
paper: ELECTRONICS (Basel : MDPI) pp. 1-18 - issn: 2079-9292 - wos: WOS:001594813400001 (0) - scopus: 2-s2.0-105019231160 (0)
11573/1714531 - 2024 -
Design, implementation and evaluation of a new variable latency integer division scheme Angioli, Marco; Barbirotta, Marcello; Cheikh, Abdallah; Mastrandrea, Antonio; Menichelli, Francesco; Jamili, Saeid; Olivieri, Mauro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON COMPUTERS (New York: [Institute of Electrical and Electronics Engineers]) pp. 1767-1779 - issn: 1557-9956 - wos: WOS:001246169700005 (5) - scopus: 2-s2.0-85190168010 (7)
11573/1722548 - 2024 -
AeneasHDC: an automatic framework for deploying hyperdimensional computing models on FPGAs Angioli, Marco; Jamili, Saeid; Barbirotta, Marcello; Cheikh, Abdallah; Mastrandrea, Antonio; Menichelli, Francesco; Rosato, Antonello; Olivieri, Mauro - 04b Atto di convegno in volume
conference: 2024 International Joint Conference on Neural Networks, IJCNN 2024 (Yokohama; Japan)
book: Proceedings of the International Joint Conference on Neural Networks - (979-8-3503-5931-2)
11573/1739881 - 2024 -
Dual-modular-redundancy voting circuits for single-event-transient mitigation Barbirotta, M.; Angioli, M.; Mastrandrea, A.; Menichelli, F.; Cheikh, A.; Olivieri, M. - 04b Atto di convegno in volume
conference: 2024 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT) (Didcot; United Kingdom)
book: 2024 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT) - (9798350366884)
11573/1739882 - 2024 -
Enhancing Fault Tolerance in High-Performance Computing: A Real Hardware Case Study on a RISC-V Vector Processing Unit Barbirotta, M.; Minervini, F.; Morales, C. R.; Cristal, A.; Unsal, O.; Olivieri, M. - 01a Articolo in rivista
paper: IEEE OPEN JOURNAL OF THE COMPUTER SOCIETY (New York NY: Institute of Electrical and Electronics Engineers, [2020-]) pp. 553-565 - issn: 2644-1268 - wos: WOS:001335986400002 (2) - scopus: 2-s2.0-85205423177 (2)
11573/1722576 - 2024 -
Single event transient reliability analysis on a fault-tolerant RISC-V microprocessor design Barbirotta, Marcello; Angioli, Marco; Mastrandrea, Antonio; Cheikh, Abdallah; Jamili, Saeid; Menichelli, Francesco; Olivieri, Mauro - 04b Atto di convegno in volume
conference: International Conference on Applications in Electronics Pervading Industry, Environment and Society, APPLEPIES 2023 (Genoa; Italy)
book: Lecture Notes in Electrical Engineering - (9783031481208; 9783031481215)
11573/1722549 - 2024 -
Dynamic triple modular redundancy in interleaved hardware threads: an alternative solution to lockstep multi-cores for fault-tolerant systems Barbirotta, Marcello; Menichelli, Francesco; Cheikh, Abdallah; Mastrandrea, Antonio; Angioli, Marco; Olivieri, Mauro - 01a Articolo in rivista
paper: IEEE ACCESS (Piscataway NJ: Institute of Electrical and Electronics Engineers) pp. 95720-95735 - issn: 2169-3536 - wos: WOS:001272143600001 (8) - scopus: 2-s2.0-85198298597 (18)
11573/1722575 - 2024 -
Heterogeneous tightly-coupled dual core architecture against single event effects Barbirotta, Marcello; Menichelli, Francesco; Mastrandrea, Antonio; Cheikh, Abdallah; Angioli, Marco; Jamili, Saeid; Olivieri, Mauro - 04b Atto di convegno in volume
conference: International Conference on Applications in Electronics Pervading Industry, Environment and Society, APPLEPIES 2023 (Genoa; Italy)
book: Lecture Notes in Electrical Engineering - (9783031481208; 9783031481215)
11573/1722601 - 2024 -
A universal hardware emulator for verification IPs on FPGA: a novel and low-cost approach Jamili, Saeid; Mastrandrea, Antonio; Cheikh, Abdallah; Barbirotta, Marcello; Menichelli, Francesco; Angioli, Marco; Olivieri, Mauro - 04b Atto di convegno in volume
conference: International Conference on Applications in Electronics Pervading Industry, Environment and Society, APPLEPIES 2023 (Genoa; Italy)
book: Lecture Notes in Electrical Engineering - (9783031481208; 9783031481215)
11573/1722550 - 2024 -
A RISC-V fault-tolerant soft-processor based on full/partial heterogeneous dual-core protection Vigli, Francesco; Barbirotta, Marcello; Cheikh, Abdallah; Menichelli, Francesco; Mastrandrea, Antonio; Olivieri, Mauro - 01a Articolo in rivista
paper: IEEE ACCESS (Piscataway NJ: Institute of Electrical and Electronics Engineers) pp. 30495-30506 - issn: 2169-3536 - wos: WOS:001174891900001 (1) - scopus: 2-s2.0-85185372580 (4)
11573/1682695 - 2023 -
Contextual bandits algorithms for reconfigurable hardware accelerators Angioli, Marco; Barbirotta, Marcello; Cheikh, Abdallah; Mastrandrea, Antonio; Menichelli, Francesco; Jamili, Saeid; Olivieri, Mauro - 04b Atto di convegno in volume
conference: Applications in Electronics Pervading Industry, Environment and Society (Genoa; Italy)
book: Lecture notes in electrical engineering - (978-3-031-30333-3)
11573/1692951 - 2023 -
Automatic hardware accelerators reconfiguration through linearUCB algorithms on a RISC-V processor Angioli, Marco; Barbirotta, Marcello; Mastrandrea, Antonio; Jamili, Saeid; Olivieri, Mauro - 04b Atto di convegno in volume
conference: 18th Conference on Ph.D Research in Microelectronics and Electronics (PRIME) (Valencia; Spain)
book: 2023 18th Conference on Ph.D Research in Microelectronics and Electronics (PRIME) - (979-8-3503-0320-9)
11573/1692822 - 2023 -
Fault-tolerant hardware acceleration for high-performance edge-computing nodes Barbirotta, M.; Cheikh, A.; Mastrandrea, A.; Menichelli, F.; Angioli, M.; Jamili, S.; Olivieri, M. - 01a Articolo in rivista
paper: ELECTRONICS (Basel : MDPI) pp. 1-15 - issn: 2079-9292 - wos: WOS:001061043700001 (9) - scopus: 2-s2.0-85170563355 (14)
11573/1685071 - 2023 -
Improving SET fault resilience by exploiting buffered DMR microarchitecture Barbirotta, Marcello; Mastrandrea, Antonio; Cheikh, Abdallah; Menichelli, Francesco; Olivieri, Mauro - 02a Capitolo o Articolo
book: SIE 2022. Proceedings of SIE 2022 - (978-3-031-26065-0; 978-3-031-26066-7)
11573/1692824 - 2023 -
Homogeneous Tightly-Coupled Dual Core Lock-Step with No Checkpointing Redundancy Barbirotta, Marcello; Menichelli, Francesco; Mastrandrea, Antonio; Cheikh, Abdallah; Jamili, Saeid; Angioli, Marco; Olivieri, Mauro - 04b Atto di convegno in volume
conference: 54th Annual Meeting of the Italian Electronics Society (Noto (SR), Italy)
book: SIE 2023: Proceedings of SIE 2023 - (978-3-031-48710-1; 978-3-031-48711-8)
11573/1682722 - 2023 -
Implementation of dynamic acceleration unit exchange on a RISC-V soft-processor Jamili, Saeid; Cheikh, Abdallah; Mastrandrea, Antonio; Barbirotta, Marcello; Menichelli, Francesco; Angioli, Marco; Olivieri, Mauro - 04b Atto di convegno in volume
conference: Applications in Electronics Pervading Industry, Environment and Society (Genoa; Italy)
book: Lecture Notes in Electrical Engineering - (978-3-031-30333-3)
11573/1699648 - 2023 -
FAUST: Design and implementation of a pipelined RISC-V vector floating-point unit Kovac, M.; Dragic, L.; Malnar, B.; Minervini, F.; Palomar, O.; Rojas, C.; Olivieri, M.; Knezovic, J.; Kovac, M. - 01a Articolo in rivista
paper: MICROPROCESSORS AND MICROSYSTEMS (Butterworth Heinemann Publishers:Linacre House Jordan Hill, Oxford OX2 8DP United Kingdom:011 44 1865 314569, EMAIL: bhmarketing@repp.co.uk, INTERNET: http://www.laxtonsprices.co.uk, Fax: 011 44 1865 314569) pp. 1-9 - issn: 0141-9331 - wos: WOS:000990659500001 (5) - scopus: 2-s2.0-85146241676 (10)
11573/1699646 - 2023 -
Vitruvius+: An Area-Efficient RISC-V Decoupled Vector Coprocessor for High Performance Computing Applications Minervini, F.; Palomar, O.; Unsal, O.; Reggiani, E.; Quiroga, J.; Marimon, J.; Rojas, C.; Figueras, R.; Ruiz, A.; Gonzalez, A.; Mendoza, J.; Vargas, I.; Hernandez, C.; Cabre, J.; Khoirunisya, L.; Bouhali, M.; Pavon, J.; Moll, F.; Olivieri, M.; Kovac, M.; Kovac, M.; Dragic, L.; Valero, M.; Cristal, A. - 01a Articolo in rivista
paper: ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION (New York, N.Y. : Association for Computing Machinery, c2004-) pp. 1-25 - issn: 1544-3566 - wos: WOS:001002590600009 (23) - scopus: 2-s2.0-85160312502 (41)
11573/1699644 - 2023 -
Mix-GEMM: An efficient HW-SW Architecture for Mixed-Precision Quantized Deep Neural Networks Inference on Edge Devices Reggiani, E.; Pappalardo, A.; Doblas, M.; Moreto, M.; Olivieri, M.; Unsal, O. S.; Cristal, A. - 04b Atto di convegno in volume
conference: 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA) (Montreal, Canada)
book: International Symposium on High-Performance Computer Architecture (HPCA) - (9781665476522)
11573/1669450 - 2022 -
Analysis of a Fault Tolerant Edge-Computing Microarchitecture Exploiting Vector Acceleration Barbirotta, Marcello; Cheikh, Abdallah; Mastrandrea, Antonio; Menichelli, Francesco; Olivieri, Mauro - 04b Atto di convegno in volume
conference: 2022 17th Conference on Ph.D Research in Microelectronics and Electronics (PRIME) (Villasimius, SU, Italy)
book: PRIME 2022 - 17th International Conference on Ph.D Research in Microelectronics and Electronics, Proceedings - (978-1-6654-6700-1)
11573/1669461 - 2022 -
Design and evaluation of buffered triple modular redundancy in interleaved-multi-threading processors Barbirotta, Marcello; Cheikh, Abdallah; Mastrandrea, Antonio; Menichelli, Francesco; Olivieri, Mauro - 01a Articolo in rivista
paper: IEEE ACCESS (Piscataway NJ: Institute of Electrical and Electronics Engineers) pp. 126074-126088 - issn: 2169-3536 - wos: WOS:000896597600001 (14) - scopus: 2-s2.0-85144067405 (19)
11573/1669457 - 2022 -
Evaluation of Dynamic Triple Modular Redundancy in an Interleaved-Multi-Threading RISC-V Core Barbirotta, Marcello; Cheikh, Abdallah; Mastrandrea, Antonio; Menichelli, Francesco; Ottavi, Marco; Olivieri, Mauro - 01a Articolo in rivista
paper: JOURNAL OF LOW POWER ELECTRONICS AND APPLICATIONS (Basel : MDPI) pp. 1-13 - issn: 2079-9268 - wos: WOS:000955847900001 (17) - scopus: 2-s2.0-85150985066 (22)
11573/1699649 - 2022 -
BiSon-e: A Lightweight and High-Performance Accelerator for Narrow Integer Linear Algebra Computing on the Edge Reggiani, E.; Lazo, C. R.; Bague, R. F.; Cristal, A.; Olivieri, M.; Unsal, O. S. - 04b Atto di convegno in volume
conference: 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2022 (Losanne, Switzerland)
book: International Conference on Architectural Support for Programming Languages and Operating Systems - ASPLOS - (9781450392051)
11573/1575152 - 2021 -
The Italian research on HPC key technologies across EuroHPC Aldinucci, M.; Agosta, G.; Andreini, A.; Ardagna, C. A.; Bartolini, A.; Cilardo, A.; Cosenza, B.; Danelutto, M.; Esposito, R.; Fornaciari, W.; Giorgi, R.; Lengani, D.; Montella, R.; Olivieri, M.; Saponara, S.; Simoni, D.; Torquati, M. - 04b Atto di convegno in volume
conference: 18th ACM International Conference on Computing Frontiers 2021, CF 2021 (Catania; Italy (virtual))
book: Proceedings of the 18th ACM International Conference on Computing Frontiers 2021, CF 2021 - (9781450384049)
11573/1622494 - 2021 -
A Fault Tolerant soft-core obtained from an Interleaved-Multi- Threading RISC- V microprocessor design Barbirotta, Marcello; Cheikh, Abdallah; Mastrandrea, Antonio; Menichelli, Francesco; Vigli, Francesco; Olivieri, Mauro - 04b Atto di convegno in volume
conference: 34rd IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2021 (Online; Greece)
book: 34rd IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2021 - (978-1-6654-1609-2)
11573/1540122 - 2021 -
Klessydra-T: Designing vector coprocessors for multithreaded edge-computing cores Cheikh, A.; Sordillo, S.; Mastrandrea, A.; Menichelli, F.; Scotti, G.; Olivieri, M. - 01a Articolo in rivista
paper: IEEE MICRO (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 64-71 - issn: 0272-1732 - wos: WOS:000639559200011 (22) - scopus: 2-s2.0-85099594718 (28)
11573/1540108 - 2021 -
Customizable vector acceleration in extreme-edge computing. A risc-v software/hardware architecture study on VGG-16 implementation Sordillo, S.; Cheikh, A.; Mastrandrea, A.; Menichelli, F.; Olivieri, M. - 01a Articolo in rivista
paper: ELECTRONICS (Basel : MDPI) pp. 1-21 - issn: 2079-9292 - wos: WOS:000623372400001 (5) - scopus: 2-s2.0-85101277706 (9)
11573/1540143 - 2020 -
Fault resilience analysis of a RISC-V microprocessor design through a dedicated UVM environment Barbirotta, M.; Mastrandrea, A.; Menichelli, F.; Vigli, F.; Blasi, L.; Cheikh, A.; Sordillo, S.; Di Gennaro, F.; Olivieri, M. - 04b Atto di convegno in volume
conference: 33rd IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2020 (Online; Italy)
book: 33rd IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2020 - (978-1-7281-9457-8)
11573/1532330 - 2020 -
SC-DDPL. A novel standard-cell based approach for counteracting power analysis attacks in the presence of unbalanced routing Bellizia, D.; Bongiovanni, S.; Olivieri, M.; Scotti, G. - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS (IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 445 HOES LANE, PISCATAWAY, USA, NJ, 08855) pp. 2317-2330 - issn: 1549-8328 - wos: WOS:000543971900015 (19) - scopus: 2-s2.0-85088267211 (24)
11573/1465123 - 2020 -
A RISC-V fault-tolerant microcontroller core architecture based on a hardware thread full/partial protection and a thread-controlled Watch-dog timer Blasi, L.; Vigli, F.; Cheikh, A.; Mastrandrea, A.; Menichelli, F.; Olivieri, M. - 04b Atto di convegno in volume
conference: International Conference on Applications in Electronics Pervading Industry, Environment and Society, ApplePies 2019 (Pisa, Italia)
book: Lecture Notes in Electrical Engineering - ()
11573/1699651 - 2020 -
An fpga-based risc-v computer architecture orbital laboratory on a pocketqube satellite Blasi, L.; Vigli, F.; Farissi, S. M.; Mastrandrea, A.; Menichelli, F.; Nascetti, A.; Olivieri, M. - 04b Atto di convegno in volume
conference: 5th IAA Conference on University Satellite Missions and Cubesat Workshop, 2020 (Rome; Italy)
book: Advances in the Astronautical Sciences - ()
11573/1407096 - 2020 -
A low-voltage class-AB OTA exploiting adaptive biasing Centurelli, Francesco; Fava, Alessandro; Olivieri, Mauro; Tommasino, Pasquale; Trifiletti, Alessandro - 01a Articolo in rivista
paper: AEÜ. INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATIONS (Urban & Fischer Verlag Jena:Postfach 100537, D-07705 Jena Germany:011 49 3641 626430, EMAIL: journals@urbanfischer.de, INTERNET: http://www.urbanfischer.de, Fax: 011 49 3641 626500) pp. - - issn: 1434-8411 - wos: WOS:000540354200014 (9) - scopus: 2-s2.0-85085728275 (14)
11573/1465125 - 2020 -
Efficient Mathematical Accelerator Design Coupled with an Interleaved Multi-threading RISC-V Microprocessor Cheikh, A.; Sordillo, S.; Mastrandrea, A.; Menichelli, F.; Olivieri, M. - 04b Atto di convegno in volume
conference: International Conference on Applications in Electronics Pervading Industry, Environment and Society, ApplePies 2019 (Pisa, Italia)
book: ApplePies 2019: Applications in Electronics Pervading Industry, Environment and Society - (9783030372767)
11573/1465121 - 2020 -
Quality aware selective ECC for approximate DRAM Stazi, G.; Mastrandrea, A.; Olivieri, M.; Menichelli, F. - 04b Atto di convegno in volume
conference: International Conference on Applications in Electronics Pervading Industry, Environment and Society, ApplePies 2019 (Pisa, Italia)
book: Applications in Electronics Pervading Industry, Environment and Society APPLEPIES 2019 - ()
11573/1292106 - 2019 -
LEADER: Leakage currents estimation technique for aging degradation aware 16 nm CMOS circuits Abbas, Z.; Zahra, A.; Olivieri, M. - 04b Atto di convegno in volume
conference: 22nd International Symposium on VLSI Design and Test, VDAT 2018 (Madurai, India)
book: Communications in Computer and Information Science - (978-981-13-5949-1; 978-981-13-5950-7)
11573/1345133 - 2019 -
Dosimetric characterization of an irradiation set-up for electronic components testing at the TOP-IMPLART proton linear accelerator Bazzano, G.; Ampollini, A.; Blasi, L.; Cardelli, F.; Cisbani, E.; De Angelis, C.; Delle Monache, S.; Mastrandrea, A.; Menichelli, F.; Nenzi, P.; Olivieri, M.; Palmerini, G. B.; Picardi, L.; Piccinini, M.; Ronsivalle, C.; Sabatini, M.; Vigli, F. - 04b Atto di convegno in volume
conference: 2019 19th European conference on radiation and its effects on components and systems, RADECS 2019 (Montpellier; France)
book: 2019 19th European conference on radiation and its effects on components and systems, RADECS 2019 - (978-172815699-6)
11573/1292125 - 2019 -
The microarchitecture of a multi-threaded RISC-V compliant processing core family for IoT end-nodes Cheikh, A.; Cerutti, G.; Mastrandrea, A.; Menichelli, F.; Olivieri, M. - 04b Atto di convegno in volume
conference: International Conference on Applications in Electronics Pervading Industry, Environment and Society, APPLEPIES 2017 (Roma)
book: Lecture Notes in Electrical Engineering - (978-3-319-93081-7; 978-3-319-93082-4)
11573/1291718 - 2019 -
The international race towards Exascale in Europe Gagliardi, Fabrizio; Moreto, Miquel; Olivieri, Mauro; Valero, Mateo - 01a Articolo in rivista
paper: CCF TRANSACTIONS ON HIGH PERFORMANCE COMPUTING (Singapore: Springer Singapore) pp. 3-13 - issn: 2524-4930 - wos: WOS:000649381500002 (20) - scopus: 2-s2.0-85089616743 (28)
11573/1291445 - 2019 -
Approximate memory support for Linux early allocators in ARM architectures Stazi, G.; Mastrandrea, A.; Olivieri, M.; Menichelli, F. - 04b Atto di convegno in volume
conference: International Conference on Applications in Electronics Pervading Industry, Environment and Society, APPLEPIES 2018 (Pisa, Italy)
book: Applications in electronics pervading industry, environment and society - (978-3-030-11972-0; 978-3-030-11973-7)
11573/1350617 - 2019 -
Quality aware approximate memory in RISC-V Linux Kernel Stazi, G.; Mastrandrea, A.; Olivieri, M.; Menichelli, F. - 04b Atto di convegno in volume
conference: 15th Conference on Ph.D. Research in Microelectronics and Electronics, PRIME 2019 (Lausanne, Switzerald)
book: PRIME 2019 - 15th Conference on Ph.D. Research in Microelectronics and Electronics, Proceedings - (978-1-7281-3549-6)
11573/1291447 - 2019 -
Synthesis time reconfigurable floating point unit for transprecision computing Stazi, G.; Silvestri, F.; Mastrandrea, A.; Olivieri, M.; Menichelli, F. - 04b Atto di convegno in volume
conference: International conference on applications in electronics pervading industry, environment and society, APPLEPIES 2018 (Pisa; Italy)
book: Applications in electronics pervading industry, environment and society - (978-3-030-11972-0; 978-3-030-11973-7)
11573/1291443 - 2019 -
Full system emulation of approximate memory platforms with AppropinQuo Stazi, Giulia; Mastrandrea, Antonio; Olivieri, Mauro; Menichelli, Francesco - 01a Articolo in rivista
paper: JOURNAL OF LOW POWER ELECTRONICS (Stevenson Ranch, Calif. : American Scientific Publishers, c2005-) pp. 30-39 - issn: 1546-1998 - wos: WOS:000467631600005 (2) - scopus: 2-s2.0-85071013626 (3)
11573/1121857 - 2018 -
Geometry scaling impact on leakage currents in FinFET standard cells based on a logic-level leakage estimation technique Abbas, Zia; Zahra, Andleeb; Olivieri, Mauro; Mastrandrea, Antonio - 04b Atto di convegno in volume
conference: International Conference on Microelectronics, Electromagnetics and Telecommunications, ICMEET 2017 (Telangana; India)
book: Lecture Notes in Electrical Engineering - (9789811073281)
11573/1292157 - 2018 -
A space-rated soft IP-core compatible with the PIC®hardware architecture and instruction set Blasi, L.; Mastrandrea, A.; Menichelli, F.; Olivieri, M. - 04b Atto di convegno in volume
conference: 4th IAA Conference on University Satellite Missions and CubeSat Workshop (Roma)
book: 4th IAA Conference on University Satellite Missions and CubeSat Workshop - (978-087703647-0)
11573/1292148 - 2018 -
Characterizing noise pulse effects on the power consumption of idle digital cells Olivieri, M.; Khalid, U.; Mastrandrea, A.; Menichelli, F. - 04b Atto di convegno in volume
conference: 2018 IEEE International Symposium on Circuits and Systems, ISCAS 2018 (Firenze)
book: Proceedings - IEEE International Symposium on Circuits and Systems (ISCAS) - (978-1-5386-4881-0)
11573/1291449 - 2018 -
Impact of approximate memory data allocation on a H.264 software video encoder Stazi, G.; Adani, L.; Mastrandrea, A.; Olivieri, M.; Menichelli, F. - 04b Atto di convegno in volume
conference: International Conference on High Performance Computing, ISC High Performance 2018 (Frankfurt; Germany)
book: Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) - (978-3-030-02464-2; 978-3-030-02465-9)
11573/1291451 - 2018 -
AppropinQuo: a platform emulator for exploring the approximate memory design space Stazi, G; Mastrandrea, A; Olivieri, M; Menichelli, F - 04b Atto di convegno in volume
conference: 2018 New Generation of CAS (NGCAS) (Valletta, Malta)
book: 2018 New Generation of CAS (NGCAS) - ()
11573/1180064 - 2017 -
Narrowband delay tolerant protocols for WSN applications. Characterization and selection guide Malavenda, Claudio S.; Menichelli, Francesco; Olivieri, Mauro - 02a Capitolo o Articolo
book: Applications in Electronics Pervading Industry, Environment and Society - (978-3-319-47912-5; 978-3-319-47913-2)
11573/1014908 - 2017 -
An emulator for approximate memory platforms based on QEmu Menichelli, Francesco; Stazi, Giulia; Mastrandrea, Antonio; Olivieri, Mauro - 04b Atto di convegno in volume
conference: 5th Conference of the Applications in Electronics Pervading Industry Environment and Society (APPLEPIES) (Rome, ITALY)
book: Applications in Electronics Pervading Industry, Environment and Society - (9783319550701)
11573/1047455 - 2017 -
Investigation on the optimal pipeline organization in RISC-V multi-threaded soft processor cores Olivieri, Mauro; Cheikh, Abdallah; Cerutti, Gianmarco; Mastrandrea, Antonio; Menichelli, Francesco - 04b Atto di convegno in volume
conference: 1st New Generation of CAS, NGCAS 2017 (Genova, ITALY)
book: Proceedings - 2017 1st New Generation of CAS, NGCAS 2017 - (9781509064472)
11573/963886 - 2017 -
Optimal pipeline stage balancing in the presence of large isolated interconnect delay Olivieri, Mauro; Menichelli, Francesco; Mastrandrea, Antonio - 01a Articolo in rivista
paper: ELECTRONICS LETTERS (Institution of Electrical Engineers:Michael Faraday House, 6 Hills Way, Stevenage Hertfordshire SG1 1AY United Kingdom:011 44 1438 313311, EMAIL: postmaster@iee.org, INTERNET: http://www.iee.org, Fax: 011 44 1438 313465) pp. 229-231 - issn: 0013-5194 - wos: WOS:000395555400014 (1) - scopus: 2-s2.0-85013639178 (2)
11573/1014909 - 2017 -
Introducing approximate memory support in Linux Kernel Stazi, Giulia; Menichelli, Francesco; Mastrandrea, Antonio; Olivieri, Mauro - 04b Atto di convegno in volume
conference: 13th Conference on Ph.D. Research in Microelectronics and Electronics, PRIME 2017 (Atahotel Naxos Beach Conference Center, italy)
book: PRIME 2017 - 13th Conference on PhD Research in Microelectronics and Electronics, Proceedings - (9781509065073; 9781509065080)
11573/892981 - 2016 -
Optimal transistor sizing for maximum yield in variation-aware standard cell design Abbas, Zia; Olivieri, Mauro - 01a Articolo in rivista
paper: INTERNATIONAL JOURNAL OF CIRCUIT THEORY AND APPLICATIONS (Chichester, John Wiley & Sons, Ltd.) pp. 1400-1424 - issn: 0098-9886 - wos: WOS:000379934700004 (20) - scopus: 2-s2.0-84949432416 (23)
11573/889868 - 2016 -
Yield-driven power-delay-optimal CMOS full-adder design complying with automotive product specifications of PVT variations and NBTI degradations Abbas, Zia; Olivieri, Mauro; Ripp, Andreas - 01a Articolo in rivista
paper: JOURNAL OF COMPUTATIONAL ELECTRONICS (Dordrecht : Kluwer) pp. 1424-1439 - issn: 1572-8137 - wos: WOS:000388175600033 (8) - scopus: 2-s2.0-84982798917 (10)
11573/844801 - 2016 -
A model-based methodology to generate code for timer units Marazza, Marco; Menichelli, Francesco; Olivieri, Mauro; Ferrante, Orlando; Ferrari, Alberto - 04b Atto di convegno in volume
conference: Conference on Applications in Electronics Pervading Industry, Environment and Society (APPLEPIES) (Rome, ITALY)
book: Applications in Electronics Pervading Industry, Environment and Society - (9783319202266; 9783319202273)
11573/815697 - 2016 -
A platform-based emulator for mass-storage flash cards evaluation in embedded systems Menichelli, Francesco; Olivieri, Mauro - 04b Atto di convegno in volume
conference: Conference on Applications in Electronics Pervading Industry, Environment and Society (APPLEPIES) (Rome, ITALY)
book: Applications in Electronics Pervading Industry, Environment and Society - (978-3-319-20226-6; 978-3-319-20227-3)
11573/851860 - 2015 -
Optimal NBTI degradation and PVT variation resistant device sizing in a full adder cell Abbas, Zia; Olivieri, Mauro; Khalid, Usman; Ripp, Andreas; Pronath, Michael - 04b Atto di convegno in volume
conference: 4th International Conference on Reliability, Infocom Technologies and Optimization, ICRITO 2015 (Noida; India)
book: Reliability, Infocom Technologies and Optimization (ICRITO) (Trends and Future Directions), 2015 4th International Conference on - (978-1-4673-7231-2)
11573/893110 - 2015 -
Variability aware modeling of SEU induced failure probability of logic circuit paths in static conditions Khalid, Usman; Mastrandrea, Antonio; Abbas, Zia; Olivieri, Mauro - 04b Atto di convegno in volume
conference: 4th International Conference on Reliability, Infocom Technologies and Optimization, ICRITO 2015 (Amity University Uttar Pradesh, ind)
book: 2015 4th International Conference on Reliability, Infocom Technologies and Optimization: Trends and Future Directions, ICRITO 2015 - (9781467372312; 9781467372312)
11573/851857 - 2015 -
Effect of NBTI/PBTI aging and process variations on write failures in MOSFET and FinFET flip-flops Khalid, Usman; Mastrandrea, Antonio; Olivieri, Mauro - 01a Articolo in rivista
paper: MICROELECTRONICS RELIABILITY (ATTUALE:
Elsevier Science Limited:Oxford Fulfillment Center, PO Box 800, Kidlington Oxford OX5 1DX United Kingdom:011 44 1865 843000, 011 44 1865 843699, EMAIL: asianfo@elsevier.com, tcb@elsevier.co.UK, INTERNET: http://www.elsevier.com, http://www.elsevier.com/locate/shpsa/, Fax: 011 44 1865 843010
PRECEDENTE:
Pergamon Press., Oxford) pp. 2614-2626 - issn: 0026-2714 - wos: WOS:000367773300002 (24) - scopus: 2-s2.0-84949894853 (33)
11573/541273 - 2014 -
A Voltage-Based Leakage Current Calculation Scheme and its Application to Nanoscale MOSFET and FinFET Standard-Cell Designs Abbas, Zia; Mastrandrea, Antonio; Olivieri, Mauro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 1-1 - issn: 1063-8210 - wos: WOS:000345568900009 (23) - scopus: 2-s2.0-84913586848 (29)
11573/541342 - 2014 -
Impact of technology scaling on leakage power in nano-scale bulk CMOS digital standard cells Abbas, Zia; Olivieri, Mauro - 01a Articolo in rivista
paper: MICROELECTRONICS JOURNAL (Elsevier Advanced Technology:P O Box 150, Kidlington OX5 1AS United Kingdom:011 44 1865 843687, 011 44 1865 843699, EMAIL: eatsales@elsevier.co.uk, INTERNET: http://www.elsevier.com, Fax: 011 44 1865 843971) pp. 179-195 - issn: 0959-8324 - wos: WOS:000331685700006 (44) - scopus: 2-s2.0-84893786004 (58)
11573/541276 - 2014 -
Novel approaches to quantify failure probability due to process variations in nano-scale CMOS logic Khalid, Usman; Mastrandrea, Antonio; Olivieri, Mauro - 04b Atto di convegno in volume
conference: 2014 29th International Conference on Microelectronics, MIEL 2014 (Belgrade; Serbia)
book: Proceedings of the International Conference on Microelectronics, MIEL 2014 - (9781479952939; 9781479952953; 9781479952960)
11573/625586 - 2014 -
Combined Impact of NBTI Aging and Process Variations on Noise Margins of Flip-Flops Khalid, Usman; Mastrandrea, Antonio; Olivieri, Mauro - 04b Atto di convegno in volume
conference: Digital System Design (DSD), 2014 17th Euromicro Conference on ()
book: Proceedings of Digital System Design (DSD), 2014 17th Euromicro Conference on - ()
11573/625589 - 2014 -
Safe operation region characterization for quantifying the reliability of CMOS logic affected by process variations Khalid, Usman; Mastrandrea, Antonio; Olivieri, Mauro - 04b Atto di convegno in volume
conference: Microelectronics and Electronics (PRIME), 2014 10th Conference on Ph. D. Research in ()
book: Proceedings of Microelectronics and Electronics (PRIME), 2014 10th Conference on Ph. D. Research in - ()
11573/625587 - 2014 -
A new algorithm for convergence verification in circuit level simulations Lannutti, Francesco; Menichelli, Francesco; Nenzi, Paolo; Olivieri, Mauro - 04b Atto di convegno in volume
conference: Microelectronics and Electronics (PRIME), 2014 10th Conference on Ph. D. Research in (Grenoble; France)
book: Proceedings of Microelectronics and Electronics (PRIME), 2014 10th Conference on Ph. D. Research in - ()
11573/625584 - 2014 -
A regulation-based security evaluation method for data link in wireless sensor network Malavenda, Claudio Santo; Menichelli, Francesco; Olivieri, Mauro - 01a Articolo in rivista
paper: JOURNAL OF COMPUTER NETWORKS AND COMMUNICATIONS (Cairo: Hindawi Publishing Corporation) pp. 1-15 - issn: 2090-7141 - wos: (0) - scopus: 2-s2.0-84926137670 (6)
11573/625590 - 2014 -
Wireless and Ad Hoc Sensor Networks: An Industrial Example Using Delay Tolerant, Low Power Protocols for Security-Critical Applications Malavenda, Claudio Santo; Menichelli, Francesco; Olivieri, Mauro - 04b Atto di convegno in volume
conference: Applications in Electronics Pervading Industry, Environment and Society ()
book: Applications in Electronics Pervading Industry, Environment and Society - (978-3-319-04369-2)
11573/541272 - 2014 -
Logic Drivers: A Propagation Delay Modeling Paradigm for Statistical Simulation of Standard Cell Designs Olivieri, Mauro; Mastrandrea, Antonio - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 1429-1440 - issn: 1063-8210 - wos: WOS:000337167600020 (15) - scopus: 2-s2.0-84901607006 (19)
11573/541323 - 2013 -
Sizing and optimization of low power process variation aware standard cells Abbas, Zia; Khalid, Usman; Olivieri, Mauro - 04b Atto di convegno in volume
conference: 2013 IEEE International Integrated Reliability Workshop Final Report, IIRW 2013 (South Lake Tahoe; United States)
book: International Integrated Reliability Workshop - (9781479903504; 9781479903511; 9781479903528)
11573/530570 - 2013 -
Design centering/yield optimization of power aware band pass filter based on CMOS current controlled current conveyor (CCCII+) Abbas, Zia; Olivieri, Mauro; Marat, Yakupov; Andreas, Ripp - 01a Articolo in rivista
paper: MICROELECTRONICS JOURNAL (Elsevier Advanced Technology:P O Box 150, Kidlington OX5 1AS United Kingdom:011 44 1865 843687, 011 44 1865 843699, EMAIL: eatsales@elsevier.co.uk, INTERNET: http://www.elsevier.com, Fax: 011 44 1865 843971) pp. 321-331 - issn: 0959-8324 - wos: WOS:000317455700007 (7) - scopus: 2-s2.0-84875223544 (8)
11573/625176 - 2013 -
A flip-flop implementation for the DPA-resistant Delay-based Dual-rail Pre-charge Logic family Bongiovanni, Simone; Olivieri, Mauro; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 20th International Conference on Mixed Design of Integrated Circuits and Systems, MIXDES 2013 (Gdynia)
book: Proceedings of the 20th International Conference on Mixed Design of Integrated Circuits and Systems - (9788363578008; 9788363578015)
11573/461355 - 2013 -
First integration of MOSFET band-to-band-tunneling current in BSIM4 Fabrizio, Ramundo; Nenzi, Paolo; Olivieri, Mauro - 01a Articolo in rivista
paper: MICROELECTRONICS JOURNAL (Elsevier Advanced Technology:P O Box 150, Kidlington OX5 1AS United Kingdom:011 44 1865 843687, 011 44 1865 843699, EMAIL: eatsales@elsevier.co.uk, INTERNET: http://www.elsevier.com, Fax: 011 44 1865 843971) pp. 26-32 - issn: 0959-8324 - wos: WOS:000315061000006 (8) - scopus: 2-s2.0-84871926198 (8)
11573/625169 - 2013 -
Using safe operation regions to assess the error probability of logic circuits due to process variations Khalid, Usman; Mastrandrea, Antonio; Olivieri, Mauro - 04b Atto di convegno in volume
conference: 2013 IEEE International Integrated Reliability Workshop Final Report, IIRW 2013 (South Lake Tahoe, CA)
book: International Integrated Reliability Workshop - (9781479903504; 9781479903511; 9781479903528)
11573/509832 - 2013 -
A general design methodology for synchronous early-completion-prediction adders in Nano-CMOS DSP architectures Olivieri, Mauro; Mastrandrea, Antonio - 01a Articolo in rivista
paper: VLSI DESIGN (Taylor & Francis Limited:Rankine Road, Basingstoke RG24 8PR United Kingdom:011 44 1256 813035, EMAIL: madeline.sims@tandf.co.uk, info@tandf.co.uk, INTERNET: http://www.tandf.co.uk, Fax: 011 44 1256 330245) pp. 1-12 - issn: 1065-514X - wos: (0) - scopus: 2-s2.0-84873387040 (2)
11573/530581 - 2012 -
Yield optimization for low power current controlled current conveyor Abbas, Zia; M., Yakupov; Olivieri, Mauro; A., Ripp; G., Strobe - 04b Atto di convegno in volume
conference: 2012 25th Symposium on Integrated Circuits and Systems Design, SBCCI 2012 (Brasilia)
book: Proceedings: 25th Symposium on Integrated Circuits and Systems Design (SBCCI) - (9781467326087)
11573/497282 - 2012 -
KLU sparse direct linear solver implementation into NGSPICE Lannutti, Francesco; Nenzi, Paolo; Olivieri, Mauro - 04b Atto di convegno in volume
conference: 19th International Conference on Mixed Design of Integrated Circuits and Systems, MIXDES 2012 (Warsaw)
book: Proceedings of the 19th International Conference on Mixed Design of Integrated Circuits and Systems - (9788362954438)
11573/509819 - 2012 -
Delay-tolerant, low-power protocols for large security-critical wireless sensor networks Malavenda, Claudio Santo; Menichelli, Francesco; Olivieri, Mauro - 01a Articolo in rivista
paper: JOURNAL OF COMPUTER NETWORKS AND COMMUNICATIONS (Cairo: Hindawi Publishing Corporation) pp. 1-10 - issn: 2090-7141 - wos: (0) - scopus: 2-s2.0-84871381433 (9)
11573/473674 - 2012 -
A new logic level delay modeling paradigm for nano-CMOS standard cell variation-aware simulation Mastrandrea, Antonio; Olivieri, Mauro - 04a Atto di comunicazione a congresso
conference: Workshop on Variability modelling and mitigation techniques in current and future technologies (VAMM), in conjunction with DATE 2012 (Dresden, Germany)
book: Workshop on Variability modelling and mitigation techniques in current and future technologies (VAMM) - ()
11573/460693 - 2011 -
Current controlled current conveyor (CCCII) and application using 65nm CMOS technology Abbas, Zia; Scotti, Giuseppe; Olivieri, Mauro - 01a Articolo in rivista
paper: WORLD ACADEMY OF SCIENCE, ENGINEERING AND TECHNOLOGY (world academy of science, engineering and technology) pp. 935-939 - issn: 2010-376X - wos: (0) - scopus: 2-s2.0-79960796020 (21)
11573/443560 - 2011 -
A novel logic level calculation model for leakage currents in digital nano-CMOS circuits Abbas, Zia; Vanni, Genua; Olivieri, Mauro - 04b Atto di convegno in volume
conference: 2011 7th Conference on Ph.D. Research in Microelectronics and Electronics, PRIME 2011 (Madonna di Campiglio, Trento)
book: Proceedings of 7th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME) - (9781424491377; 9781424491384)
11573/443918 - 2011 -
A delay model allowing nano-CMOS standard cells statistical simulation at the logic level Mastrandrea, Antonio; Menichelli, Francesco; Olivieri, Mauro - 04b Atto di convegno in volume
conference: 2011 7th Conference on Ph.D. Research in Microelectronics and Electronics, PRIME 2011 (Madonna di Campiglio, Trento)
book: 7th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME) - (9781424491377; 9781424491384)
11573/444014 - 2011 -
Performance evaluation of Jpeg2000 implementation on VLIW cores, SIMD cores and multi-cores Menichelli, Francesco; Olivieri, Mauro; Smorfa, Simone - 04b Atto di convegno in volume
conference: IEEE International Symposium on Circuits and Systems (ISCAS) (Rio de Janeiro)
book: Proceedings of the 2011 IEEE International Symposium on Circuits and Systems (ISCAS) - (9781424494736; 9781424494743)
11573/406975 - 2010 -
Tiktak: A scalable simulator of wireless sensor networks including hardware/software interaction Menichelli, Francesco; Olivieri, Mauro - 01a Articolo in rivista
paper: WIRELESS SENSOR NETWORK (Herndon, VA : Scientific Research Publishing, Inc.) pp. 815-822 - issn: 1945-3078 - wos: (0) - scopus: (0)
11573/408488 - 2010 -
Elementi di progettazione dei sistemi VLSI - Vol. 3 -
Esercizi di progetto Olivieri, Mauro; Luca, Giancane - 03c Manuale Didattico
book: Elementi di progettazione dei sistemi VLSI - (9788879595483)
11573/473693 - 2010 -
Contributions in evaluating the statistical impact of technology variations on delay and power dissipation of logic cells Olivieri, Mauro; Menichelli, Francesco; Mastrandrea, Antonio; Nenzi, Paolo - 04a Atto di comunicazione a congresso
conference: ECMI 2010, 16-th European Conference on Mathematics for Industry (Wuppertal, Germany)
11573/360122 - 2009 -
Static minimization of total energy consumption in memory subsystem for scratchpad-based systems-on-chips Menichelli, Francesco; Olivieri, Mauro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 161-171 - issn: 1063-8210 - wos: WOS:000262778500001 (13) - scopus: 2-s2.0-58849108620 (18)
11573/212132 - 2009 -
Adaptive idleness distribution for non-uniform aging tolerance in multiprocessor systems-on-chip Paterna, F; Benini, L; Acquaviva, A; Papariello, F; Desoli, G; Olivieri, Mauro - 04b Atto di convegno in volume
conference: DATE 09 (Nice, France)
book: DESIGN, AUTOMATION AND TEST IN EUROPE - (9781424437818)
11573/360131 - 2008 -
A new dynamic differential logic style as a countermeasure to power analysis attacks Giancane, Luca; Marietti, Piero; Olivieri, Mauro; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 15th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2008 (St. Julian's)
book: IEEE International Conference On Electronics, Circuits, And Systems (ICECS) - (9781424421817; 9781424421824)
11573/365183 - 2008 -
High level side channel attack modeling and simulation for security-critical systems-on-chips Menichelli, Francesco; Menicocci, Renato; Olivieri, Mauro; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON DEPENDABLE AND SECURE COMPUTING (IEEE Computer society New York) pp. 164-176 - issn: 1545-5971 - wos: WOS:000258027100004 (22) - scopus: 2-s2.0-49249137290 (26)
11573/44318 - 2008 -
A novel high-quality YUV-based image coding technique for efficient image storage in portable electronic appliances R., Mancuso; S., Smorfa; Olivieri, Mauro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CONSUMER ELECTRONICS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 695-702 - issn: 0098-3063 - wos: WOS:000257285300075 (5) - scopus: 2-s2.0-48849088003 (5)
Smorfa, Simone; Olivieri, Mauro; R., Mancuso - 02a Capitolo o Articolo
book: ENCYCLOPEDIA OF COMPUTER SCIENCE AND ENGINEERING - (9780471383932)
11573/44314 - 2007 -
Testing power-analysis attack susceptibility in Register Transfer Level designs Bucci, M; Luzzi, R; Menichelli, Francesco; Menicocci, R; Olivieri, Mauro; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IET COMPUTERS & DIGITAL TECHNIQUES (Stevenage : IET, 2007-) pp. --- - issn: 1751-8601 - wos: WOS:000258955600005 (11) - scopus: 2-s2.0-34648834741 (14)
11573/367810 - 2007 -
A statistical model of logic gates for Monte Carlo simulation including on-chip variation Centurelli, Francesco; Giancane, Luca; Olivieri, Mauro; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: PATMOS 07: 17th International Workshop on Power and Timing Modeling, Optimization and Simulation (Goteborg)
book: PATMOS 07: 17th International Workshop on Power and Timing Modeling, Optimization and Simulation - (9783540744412)
11573/367638 - 2007 -
Results on Leakage Power Management in Scratchpad-based Embedded System Menichelli, Francesco; Olivieri, Mauro - 04b Atto di convegno in volume
conference: IASTED International Conference on Circuits, Signals and Systems (CSS 2007) (Banff, Alberta, Canada)
book: Proceedings of the IASTED International Conference on Circuits, Signals and Systems (CSS 2007) - (9780889866706)
11573/44004 - 2007 -
A reconfigurable, low power, temperature compensated IC for 8-segment gamma correction curve in TFT, OLED and PDP displays Olivieri, Mauro; Mancuso, M; Riedel, F. - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CONSUMER ELECTRONICS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. --- - issn: 0098-3063 - wos: WOS:000247578900072 (9) - scopus: 2-s2.0-34547676754 (12)
11573/44315 - 2007 -
Analysis and Implementation of a Novel Leading Zero Anticipation Algorithm for Floating Point Arithmetic Units Olivieri, Mauro; Smorfa, Simone; Visalli, G. - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. II, EXPRESS BRIEFS (Piscataway, NJ : Institute of Electrical and Electronics Engineers, c2004-) pp. 685-689 - issn: 1549-7747 - wos: WOS:000248388500009 (9) - scopus: 2-s2.0-34547899190 (12)
11573/895541 - 2007 -
HW-SW optimisation of JPEG2000 wavelet transform for dedicated multimedia processor architectures Smorfa, Simone; Olivieri, Mauro - 01a Articolo in rivista
paper: IET COMPUTERS & DIGITAL TECHNIQUES (Stevenage : IET, 2007-) pp. 137-143 - issn: 1751-8601 - wos: WOS:000246462300010 (0) - scopus: 2-s2.0-79960850661 (2)
11573/893891 - 2006 -
Side channel analysis resistant design flow Aigner, M; Mangard, S.; Menichelli, Francesco; Menicocci, Renato; Olivieri, Mauro; Popp, T.; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: ISCAS 2006: 2006 IEEE International Symposium on Circuits and Systems (Kos, Greece)
book: Proceedings - IEEE International Symposium on Circuits and Systems - (0780393902; 0780393902)
11573/172996 - 2006 -
Modellazione e simulazione ad alto livello di attacchi a sistemi digitali integrati per applicazioni crittografiche Menichelli, F.; Menicocci, R.; Olivieri, Mauro; Trifiletti, A.; Marietti, Piero - 04a Atto di comunicazione a congresso
book: GE06: Riunione Annuale Gruppo Elettronica - ()
11573/367756 - 2006 -
Cycle-accurate performance investigation of parallel Jpeg2000 on a multi-processor System-on-Chip platform Smorfa, Simone; Olivieri, Mauro - 04b Atto di convegno in volume
conference: 32nd Annual Conference of the IEEE Industrial Electronics Society (IECON06) (Paris, France)
book: Proceedings of the 32nd Annual Conference of the IEEE Industrial Electronics Society (IECON06) - (1424403901)
11573/360000 - 2006 -
A physical-level LCD driver model and simulator with application to pixel crosstalk suppression Smorfa, Simone; Roberto, Mancuso; Olivieri, Mauro; Lienhardt, Martin - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CONSUMER ELECTRONICS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 1027-1034 - issn: 0098-3063 - wos: WOS:000240697000042 (3) - scopus: 2-s2.0-33750098125 (6)
11573/471769 - 2005 -
A novel CMOS logic style with data independent power consumption M., Aigner; S., Mangard; R., Menicocci; Olivieri, Mauro; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: IEEE International Symposium on Circuits and Systems (ISCAS) (Kobe, JAPAN)
book: Proceedings of the International Symposium on Circuits and Systems (ISCAS 05) - (9780780388345)
11573/44002 - 2005 -
MPARM: Exploring the multi-processor SoC design space with SystemC Menichelli, Francesco; D., Bertozzi; Luca, Benini; Olivieri, Mauro; Alessandro, Bogliolo - 01a Articolo in rivista
paper: JOURNAL OF VLSI SIGNAL PROCESSING SYSTEMS FOR SIGNAL IMAGE AND VIDEO TECHNOLOGY (Massachusetts: Kluwer Academic Publishers
London ; Dordrecht ; Boston : Kluwer Academic Publishers) pp. 169-182 - issn: 1387-5485 - wos: WOS:000230363100004 (111) - scopus: 2-s2.0-22344451866 (196)
11573/471684 - 2005 -
Software Optimization of the JPEG2000 Algorithm on a VLIW CPU Core for System-on-Chip Implementation Menichelli, Francesco; Olivieri, Mauro; Smorfa, Simone - 04b Atto di convegno in volume
conference: Third IASTED International Conference on Circuits, Signals, and Systems ()
book: Proc. of Third IASTED International Conference on Circuits, Signals, and Systems - (0889865094)
11573/408486 - 2005 -
Elementi di Progettazione dei Sistemi VLSI -
Vol. 2 - Architetture, circuiti e metodi Olivieri, Mauro - 03c Manuale Didattico
book: Elementi di Progettazione dei Sistemi VLSI - (9788879593168)
11573/471654 - 2005 -
Performance-timing overhead trade-off analysis for a low-power data bus encoding based on input lines reordering Olivieri, Mauro; F., Pappalardo; G., Visalli - 04b Atto di convegno in volume
conference: 32nd Conference of IEEE Industrial Electronics Society, (IECON 2005) ()
book: Proceedings of 32nd Conference of IEEE Industrial Electronics Society, (IECON 2005) - (0780392523)
11573/471693 - 2005 -
Design Issues for Bus Switch Systems in Deep Sub-micro Metric CMOS Technologies Olivieri, Mauro; F., Pappalardo; G., Visalli - 04b Atto di convegno in volume
conference: Third IASTED International Conference on Circuits, Signals, and Systems (Marina del Rey, USA)
book: Proc. of Third IASTED International Conference on Circuits, Signals, and Systems - (0889865078)
11573/471722 - 2005 -
A Statistical Analysis, for Reducing the Energy Dissipation In A Bus-Switch Encoder Olivieri, Mauro; F., Pappalardo; G., Visalli - 04b Atto di convegno in volume
conference: Third IASTED International Conference on Circuits, Signals, and Systems (Marina del Rey, USA)
book: Proc. of Third IASTED International Conference on Circuits, Signals, and Systems - (0889865078)
11573/471844 - 2005 -
Encoding circuits for low power optical on-chip communications Olivieri, Mauro; F., Pappalardo; G., Visalli - 04b Atto di convegno in volume
conference: IEEE International Symposium on Circuits and Systems (ISCAS) (Kobe, JAPAN)
book: Proceedings of International Symposium on Circuits and Systems - (9780780388345)
11573/473703 - 2005 -
Bus-Switch Coding for Dynamic, Power Managment in off-chip Communication Channels Olivieri, Mauro; F., Pappalardo; G., Visalli - 04a Atto di comunicazione a congresso
conference: Synopsys User Group Conference 2005 (Munich, Germany)
11573/471803 - 2005 -
Circuit-level power efficiency investigation of advanced DSP architectures based on a specialized power modeling technique Olivieri, Mauro; M., Scarana; Smorfa, Simone - 04b Atto di convegno in volume
conference: IEEE International Symposium on Circuits and Systems (ISCAS) (Kobe, JAPAN)
book: Proceedings of the IEEE 2005 International Symposium on Circuits and Systems - (9780780388345)
11573/234991 - 2005 -
Necessary and sufficient conditions for the stability of microwave amplifiers with variable termination impedances Olivieri, Mauro; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 2580-2586 - issn: 0018-9480 - wos: WOS:000231269400015 (10) - scopus: 2-s2.0-24344476310 (10)
11573/241279 - 2005 -
A novel yield optimisation technique for digital CMOS circuits design by means of process parameters run-time estimation and body bias active control Olivieri, Mauro; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 630-638 - issn: 1063-8210 - wos: WOS:000228706100010 (27) - scopus: 2-s2.0-18744386701 (32)
11573/461584 - 2005 -
Design and test of a novel programmable clock generator semi-custom core for energy-efficient systems-on-chips Olivieri, Mauro; Smorfa, Simone; Trifiletti, Alessandro - 01a Articolo in rivista
paper: JOURNAL OF LOW POWER ELECTRONICS (Stevenson Ranch, Calif. : American Scientific Publishers, c2005-) pp. 309-318 - issn: 1546-1998 - wos: (0) - scopus: (0)
11573/44003 - 2005 -
Safe start-up sequence of integrated charge pumps using dedicated control circuit Riedel, F.; Mancuso, R.; Olivieri, Mauro - 01a Articolo in rivista
paper: ELECTRONICS LETTERS (Institution of Electrical Engineers:Michael Faraday House, 6 Hills Way, Stevenage Hertfordshire SG1 1AY United Kingdom:011 44 1438 313311, EMAIL: postmaster@iee.org, INTERNET: http://www.iee.org, Fax: 011 44 1438 313465) pp. 1374-1375 - issn: 0013-5194 - wos: WOS:000234360400011 (0) - scopus: 2-s2.0-29144528009 (0)
11573/893757 - 2004 -
A post-compiler approach to scratchpad mapping of code Angiolini, Federico; Menichelli, Francesco; Ferrero, Alberto; Benini, Luca; Olivieri, Mauro - 04b Atto di convegno in volume
conference: CASES 2004: International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (Washington, DC, usa)
book: CASES 2004: International Conference on Compilers, Architecture, and Synthesis for Embedded Systems - (1581138903; 1581138903)
11573/57265 - 2004 -
Robust three-state PFD architecture with enhanced frequency acquisition capabilities Centurelli, Francesco; S., Costi; Olivieri, Mauro; S., Pennisi; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: ISCAS 04: IEEE International Symposium on Circuits and Systems (Vancouver, BC (Canada))
book: Proc. ISCAS 04 - (9780780382510)
11573/363617 - 2004 -
A class of code compression schemes for reducing power consumption in embedded microprocessor systems L., Benini; Menichelli, Francesco; Olivieri, Mauro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON COMPUTERS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 467-482 - issn: 0018-9340 - wos: WOS:000220177800007 (16) - scopus: 2-s2.0-1942436271 (24)
11573/472233 - 2004 -
A simulation-based power-aware architecture exploration of a multiprocessor system-on-chip design Menichelli, Francesco; Olivieri, Mauro; L., Benini; M., Donno; L., Bisdounis - 04b Atto di convegno in volume
conference: Designers Forum - Design, Automation and Test in Europe Conference and Exhibition, DATE 04 (Paris)
book: Proceedings of Design, Automation and Test in Europe Conference - (9780769520858)
11573/408344 - 2004 -
Elementi di Progettazione dei Sistemi VLSI -
Vol 1 - Introduzione all'elettronica digitale Olivieri, Mauro - 03c Manuale Didattico
book: Elementi di Progettazione dei Sistemi VLSI - (9788879592901)
11573/473271 - 2004 -
A comprehensive analytical model for embedded parallel microprocessors performance prediction Olivieri, Mauro; M., Scarana - 04b Atto di convegno in volume
conference: International Conference on Industrial Technology ()
book: Proceedings of the International Conference on Industrial Technology - (0780386620)
11573/462557 - 2004 -
Yield optimization by means of process parameters estimation: comparison between ABB and ASV techniques Olivieri, Mauro; M., Scarana; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
11573/44060 - 2004 -
Bus-Switch coding for reducing power dissipation in off-chip buses Olivieri, Mauro; Pappalardo, F; Visalli, G. - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 1374-1377 - issn: 1063-8210 - wos: WOS:000225746200012 (11) - scopus: 2-s2.0-12344303316 (19)
11573/44319 - 2003 -
Statistical nonlinear model of MESFET and HEMT devices A., Di Martino; Marietti, Piero; Olivieri, Mauro; Tommasino, Pasquale; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEE PROCEEDINGS. CIRCUITS, DEVICES AND SYSTEMS (Institution of Electrical Engineers:Michael Faraday House, 6 Hills Way, Stevenage Hertfordshire SG1 1AY United Kingdom:011 44 1438 313311, EMAIL: postmaster@iee.org, INTERNET: http://www.iee.org, Fax: 011 44 1438 313465) pp. 95-103 - issn: 1350-2409 - wos: WOS:000183155500003 (9) - scopus: 2-s2.0-0038320346 (12)
11573/212130 - 2003 -
Power Efficiency of Application-Dependent Self-Configuring Pipeline Depth in DSP Microprocessors Olivieri, Mauro; M., Raspa - 04b Atto di convegno in volume
conference: International Parallel and Distributed Processing Symposium (Nice, France)
book: Proceedings of the 17th International Symposium on Parallel and Distributed Processing - (0769519261)
11573/57221 - 2002 -
A robust three-state PFD architecture without output polarity reversal Centurelli, Francesco; R., Luzzi; G., Lulli; Olivieri, Mauro; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: Mixdes 02: 9th International Conference on Mixed Deisgn of Integrated Circuits and Systems (Wroclaw (Poland))
book: Proc. Mixdes 02 - (9788389003263)
11573/248894 - 2002 -
A bootstrap technique for wideband amplifiers Centurelli, Francesco; R., Luzzi; Olivieri, Mauro; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I. FUNDAMENTAL THEORY AND APPLICATIONS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 1474-1480 - issn: 1057-7122 - wos: WOS:000178498200009 (15) - scopus: 2-s2.0-0036803112 (25)
11573/473706 - 2002 -
A flexible SystemC simulator for multiprocessor systems-on-chip Menichelli, Francesco; D., Bertozzi; L., Benini; Olivieri, Mauro - 04a Atto di comunicazione a congresso
conference: 6th European SystemC User Group Meeting (Stresa, Italy)
book: 6th European SystemC User Group Meeting - ()
11573/44057 - 2002 -
Theoretical system-level limits of power dissipation reduction under a performance constraint in VLSI microprocessor design Olivieri, Mauro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 595-600 - issn: 1063-8210 - wos: WOS:000181107800008 (1) - scopus: 2-s2.0-0036818388 (3)
11573/473456 - 2001 -
An Application Specific Multi-Port Ram Cell Circuit for Fast Register Renaming Units in High Speed Microprocessors A., De Gloria; Olivieri, Mauro - 04b Atto di convegno in volume
conference: International Symposium on Circuits and Systems - ISCAS (Sidney, Australia)
book: Proceedings of the International Symposium on Circuits and Systems - (0780366859)
11573/43699 - 2001 -
Overview on a formal model of architecture/circuit trade-offs for the implementation of fast processors Olivieri, Mauro - 01a Articolo in rivista
paper: COMPUTER PHYSICS COMMUNICATIONS (Amsterdam: Elsevier BV) pp. 144-150 - issn: 0010-4655 - wos: WOS:000170975400012 (0) - scopus: 2-s2.0-0035448911 (0)
11573/44320 - 2001 -
Design of synchronous and asynchronous variable-latency pipelined multipliers Olivieri, Mauro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 365-376 - issn: 1063-8210 - wos: WOS:000169035300012 (19) - scopus: 2-s2.0-0035300996 (26)
11573/472244 - 2001 -
A Genetic Approach to The Design Space Exploration of Superscalar Microprocessor Architectures Olivieri, Mauro - 04b Atto di convegno in volume
conference: IEEE International Symposium on Circuits and Systems (Sidney, Australia)
book: Proceedings of the 2001 IEEE International Symposium on Circuits and Systems - (0780366859)
11573/473441 - 2001 -
Achieving Power Efficiency through Minimum Cycle Time in Digital Signal Processor Design Olivieri, Mauro - 04b Atto di convegno in volume
conference: Annual Conference of the IEEE Industrial Electronics Society - IECON (Denver, USA)
book: Proceedings of the 27th Annual Conference of the IEEE Industrial Electronics Society - (0780371089)
11573/473714 - 2001 -
Theoretical system level limits of power-performance trade-off in VLSI microprocessor design Olivieri, Mauro - 04a Atto di comunicazione a congresso
conference: Workshop on Complexity Effective Design, in conjunction with the IEEE/ACM Int. Symp. on Computer Architecture (ISCA) (Goteborg, Sweden)
11573/50817 - 2001 -
An all-digital clock generator firm-core based on differential fine-tuned delay for reusable microprocessor cores Olivieri, Mauro; Trifiletti, Alessandro - 04b Atto di convegno in volume
book: ISCAS 01 International Symposium on Circuits and Systems - (9780780366855)
11573/251843 - 2000 -
A novel topology for a HEMT negative current mirror Centurelli, Francesco; R., Luzzi; Olivieri, Mauro; S., Pennisi; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: GAAS European Gallium Arsenide and other semiconductors Application Symposium (Paris (France))
book: GAAS 00 European GaAs and other semiconductors Application Symposium - (9780862132224)
11573/249762 - 2000 -
A bootstrap technique for wideband amplifiers Centurelli, Francesco; R., Luzzi; Olivieri, Mauro; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: MixDes International Conference on Mixed Design of Integrated Circuits and Systems (Gdynia (Poland))
book: MIXDES 00 Int. Conf. on Mixed Design of Integrated Circuits and Systems - (9788387202378)
11573/50821 - 2000 -
A new wideband negative current source Luzzi, R.; Olivieri, Mauro; Pennisi, S.; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: MIXDES 00 Int. Conf. on Mixed Design of Integrated Circuits and Systems ()
book: Proceedings of MIXDES 00 Int. Conf. on Mixed Design of Integrated Circuits and Systems - (8387202371)
11573/44321 - 2000 -
Semicustom design of an IEEE 1394-compliant reusable IC core M., Bertacchi; D., Grosso; A., De Gloria; Olivieri, Mauro - 01a Articolo in rivista
paper: IEEE DESIGN & TEST OF COMPUTERS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 95-105 - issn: 0740-7475 - wos: WOS:000088988700019 (3) - scopus: 2-s2.0-0034226905 (5)
11573/473752 - 2000 -
Overview on a formal model of architecture/circuit trade-offs for the implementation of fast processors Olivieri, Mauro - 04b Atto di convegno in volume
conference: SIMAI 2000, V Congresso della Societa’ Italiana di Matematica Applicata e Industriale (Ischia, Italia)
11573/44056 - 2000 -
Completion-detecting carry select addition Olivieri, Mauro; A., De Gloria - 01a Articolo in rivista
paper: IEE PROCEEDINGS. COMPUTERS AND DIGITAL TECHNIQUES (Institution of Electrical Engineers:Michael Faraday House, 6 Hills Way, Stevenage Hertfordshire SG1 1AY United Kingdom:011 44 1438 313311, EMAIL: postmaster@iee.org, INTERNET: http://www.iee.org, Fax: 011 44 1438 313465) pp. 93-100 - issn: 1350-2387 - wos: WOS:000087832600006 (11) - scopus: 2-s2.0-0033721951 (15)
11573/472940 - 1999 -
Implementation Techniques for Fuzzy Theory Systems and Their Applications A., De Gloria; D., Grosso; Olivieri, Mauro; P., Ferrari; L., Puglisi - 02a Capitolo o Articolo
book: Fuzzy Theory Systems Techniques and Applications - (0124438709)
11573/470808 - 1999 -
An interactive VHDL simulator for IEEE 802.11 networks A., De Gloria; F., Bellotti; D., Grosso; L., Noli; Olivieri, Mauro - 02a Capitolo o Articolo
book: Recent Advances in Signal Processing and Communications Century - (9608052033)
11573/473473 - 1999 -
Delay-insensitive synthesis of the MCS 251 microcontroller core for low power applications A., De Gloria; Olivieri, Mauro; P., Palma - 04b Atto di convegno in volume
conference: 25th EUROMICRO Conference (Milan, Italy)
book: Proceedings of the 25th EUROMICRO Conference - (9780769503219)
11573/43698 - 1999 -
A novel stability analisys of a PLL for timing recovery in hard disk drives A., Degloria; D., Grosso; Olivieri, Mauro; G., Restani - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I. FUNDAMENTAL THEORY AND APPLICATIONS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 1026-1031 - issn: 1057-7122 - wos: WOS:000083260900015 (8) - scopus: 2-s2.0-0032667365 (9)
11573/50822 - 1999 -
A low-power microcontroller with on-chip self-tuning digital clock-generator for variable-load applications De Gloria, A.; Olivieri, Mauro; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: International Conference on Computer Design (ICCD 99) ()
book: Proceedings of the International Conference on Computer Design (ICCD 99) - (076950406X)
11573/473720 - 1998 -
Trace statistic extraction techniques for fast instruction level microprocessor evaluation F., Bellotti; A., De Gloria; Olivieri, Mauro - 04a Atto di comunicazione a congresso
conference: Workshop on Performance Analysis and its Impact on Design, presso International Symposium on Computer Architecture (Barcelona, Spain)
11573/473484 - 1997 -
Instruction level analytic prediction of parallel CPU architecture performance F., Ancarani; F., Bellotti; A., De Gloria; Olivieri, Mauro - 04b Atto di convegno in volume
conference: 1997 International Conference on Intelligent Information Systems ()
book: Proceedings of the International Conference on Intelligent Information Systems - (0818682183)
11573/473726 - 1997 -
Analytic Performance Modeling of Clusters of PC Servers based on the Scalable Coherent Interface F., Ancarani; G., Cervetto; A., De Gloria; Olivieri, Mauro - 04a Atto di comunicazione a congresso
conference: Workshop on Performance Analysis and its Impact on Design , in conjunction with IEEE International Symposium on Computer Architecture (ISCA) (Denver, USA)
Olivieri, Mauro; Giudici, F; Costa, A; De Gloria, A. - 01a Articolo in rivista
paper: IEEE MICRO (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. - - issn: 0272-1732 - wos: WOS:A1997WF58800014 (16) - scopus: 2-s2.0-0030784329 (24)
11573/44323 - 1996 -
Hardware design of asynchronous fuzzy controllers A., Costa; A., Degloria; Olivieri, Mauro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON FUZZY SYSTEMS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 328-338 - issn: 1063-6706 - wos: WOS:A1996VD11100009 (6) - scopus: 2-s2.0-0030212060 (8)
11573/43149 - 1996 -
Statistical carry lookahead adders A., Degloria; Olivieri, Mauro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON COMPUTERS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 340-347 - issn: 0018-9340 - wos: WOS:A1996UD13900008 (27) - scopus: 2-s2.0-0000602327 (35)
11573/44322 - 1996 -
An asynchronous distributed architecture model for the Boltzmann machine control mechanism A., Degloria; Olivieri, Mauro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON NEURAL NETWORKS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 1538-1541 - issn: 1045-9227 - wos: WOS:A1996VT75200025 (1) - scopus: 2-s2.0-2342500920 (2)
F., Ancarani; A., De Gloria; Olivieri, Mauro; A., Uber - 04b Atto di convegno in volume
conference: IEEE-SMC Conference on Computational Engineering in System Applications (Lille, France)
book: Proceedings of the IEEE-SMC Conference on Computational Engineering in System Applications - (2950290868)
11573/472345 - 1996 -
Design of an ASIC Architecture for High Speed Fractal Image Compression F., Ancarani; A., De Gloria; Olivieri, Mauro; C., Stazzone - 04b Atto di convegno in volume
conference: Ninth Annual ASIC Conference and Exhibit (Rochester, USA)
book: Proceedings of the Ninth Annual ASIC Conference and Exhibit - (0780333020)
11573/473746 - 1995 -
Design and Development of a multi-processor embedded system for high performance label printers A., De Gloria; Olivieri, Mauro - 04b Atto di convegno in volume
conference: IASTED Conference on Applied Informatics (Igls, Innsbruck, Austria)
book: Proceedings of the 13th IASTED Conference on Applied Informatics - (0889862141)
11573/43150 - 1995 -
EFFICIENT SEMICUSTOM MICROPIPELINE DESIGN A., Degloria; Olivieri, Mauro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 464-469 - issn: 1063-8210 - wos: WOS:A1995RR14100014 (3) - scopus: 2-s2.0-0029379243 (3)
11573/472255 - 1994 -
A self-timed interrupt controller: a case study in asynchronous micro-architecture design A., De Gloria; P., Faraboschi; Olivieri, Mauro - 04b Atto di convegno in volume
conference: ASIC Conference and Exhibit (Rochester, USA)
book: Proceedings of the 7th ASIC Conference and Exhibit - (0780320204)
11573/43152 - 1994 -
BLOCK PLACEMENT WITH A BOLTZMANN MACHINE A., Degloria; P., Faraboschi; Olivieri, Mauro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 694-701 - issn: 0278-0070 - wos: WOS:A1994NN36500003 (16) - scopus: 2-s2.0-0028449726 (21)
11573/43151 - 1994 -
DESIGN AND CHARACTERIZATION OF A STANDARD CELL SET FOR DELAY INSENSITIVE VLSI DESIGN A., Degloria; Paolo, Faraboschi; Olivieri, Mauro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. 2, ANALOG AND DIGITAL SIGNAL PROCESSING (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 410-415 - issn: 1057-7130 - wos: WOS:A1994NY86200006 (1) - scopus: 2-s2.0-0028448106 (3)
11573/473538 - 1994 -
An Evaluation System for Distributed-Time VHDL Simulation Alessandra, Costa; Alessandro De, Gloria; Paolo, Faraboschi; Olivieri, Mauro - 04b Atto di convegno in volume
conference: Workshop on Parallel and distributed simulation (Edimburgh, UK)
book: Proceedings of the eighth workshop on Parallel and distributed simulation - (9781565550278)
11573/625156 - 1994 -
Performance analysis of VLSI parallel architecture for Prolog. Olivieri, Mauro; A., De Gloria; P., Faraboschi - 02a Capitolo o Articolo
book: VLSI for Neural Networks and Artificial Intelligence - (978-1-4899-1333-3)
11573/477982 - 1993 -
An Asynchronous Approach to the RISC Design of a Micro-Controller A., Costa; A., De Gloria; P., Faraboschi; G., Nateri; Olivieri, Mauro - 01a Articolo in rivista
paper: MICROPROCESSING AND MICROPROGRAMMING (Elsevier BV:PO Box 211, 1000 AE Amsterdam Netherlands:011 31 20 4853757, 011 31 20 4853642, 011 31 20 4853641, EMAIL: nlinfo-f@elsevier.nl, INTERNET: http://www.elsevier.nl, Fax: 011 31 20 4853598) pp. 447-454 - issn: 0165-6074 - wos: WOS:A1993LT48300067 (0) - scopus: 2-s2.0-0027657590 (1)
11573/477984 - 1993 -
A Parallel Architecture for Color Doppler Flow Technique in Ultrasound Imaging A., Costa; A., De Gloria; P., Faraboschi; Olivieri, Mauro - 01a Articolo in rivista
paper: MICROPROCESSING AND MICROPROGRAMMING (Elsevier BV:PO Box 211, 1000 AE Amsterdam Netherlands:011 31 20 4853757, 011 31 20 4853642, 011 31 20 4853641, EMAIL: nlinfo-f@elsevier.nl, INTERNET: http://www.elsevier.nl, Fax: 011 31 20 4853598) pp. 545-551 - issn: 0165-6074 - wos: WOS:A1993LT48300081 (0) - scopus: 2-s2.0-0027657549 (1)
11573/477991 - 1993 -
An analysis of dynamic scheduling techniques for symbolic application A., Costa; A., De Gloria; P., Faraboschi; Olivieri, Mauro - 04b Atto di convegno in volume
conference: 26rd Annual International Symposium on Microarchitecture (Austin, USA)
book: Proceedings of the 26rd Annual International Symposium on Microarchitecture - (0818652802)
11573/477927 - 1993 -
DESIGN OF A MASSIVELY PARALLEL SIMD ARCHITECTURE FOR THE BOLTZMANN MACHINE A., De Gloria; P., Faraboschi; Olivieri, Mauro - 01a Articolo in rivista
paper: MICROPROCESSING AND MICROPROGRAMMING (Elsevier BV:PO Box 211, 1000 AE Amsterdam Netherlands:011 31 20 4853757, 011 31 20 4853642, 011 31 20 4853641, EMAIL: nlinfo-f@elsevier.nl, INTERNET: http://www.elsevier.nl, Fax: 011 31 20 4853598) pp. 153-156 - issn: 0165-6074 - wos: WOS:A1993KJ63600036 (0) - scopus: 2-s2.0-0027206323 (1)
conference: 18TH EUROMICRO CONF - SOFTWARE AND HARDWARE : SPECIFICATION AND DESIGN ( EUROMICRO 92 ) (PARIS, FRANCE)
11573/477928 - 1993 -
EFFICIENT IMPLEMENTATION OF THE BOLTZMANN MACHINE ALGORITHM A., De Gloria; P., Faraboschi; Olivieri, Mauro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON NEURAL NETWORKS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 159-163 - issn: 1045-9227 - wos: WOS:A1993KG70800021 (6) - scopus: 2-s2.0-0027187245 (12)
11573/477986 - 1993 -
Clustered Boltzmann machines: Massively parallel architectures for constrained optimization problems A., De Gloria; P., Faraboschi; Olivieri, Mauro - 01a Articolo in rivista
paper: PARALLEL COMPUTING (Elsevier BV:PO Box 211, 1000 AE Amsterdam Netherlands:011 31 20 4853757, 011 31 20 4853642, 011 31 20 4853641, EMAIL: nlinfo-f@elsevier.nl, INTERNET: http://www.elsevier.nl, Fax: 011 31 20 4853598) pp. - - issn: 0167-8191 - wos: WOS:A1993KN74400004 (4) - scopus: 2-s2.0-0027539667 (8)
11573/477926 - 1993 -
DELAY INSENSITIVE MICRO-PIPELINED COMBINATIONAL LOGIC Olivieri, Mauro; Alessandro De, Gloria; Paolo, Faraboschi - 01a Articolo in rivista
paper: MICROPROCESSING AND MICROPROGRAMMING (Elsevier BV:PO Box 211, 1000 AE Amsterdam Netherlands:011 31 20 4853757, 011 31 20 4853642, 011 31 20 4853641, EMAIL: nlinfo-f@elsevier.nl, INTERNET: http://www.elsevier.nl, Fax: 011 31 20 4853598) pp. 225-241 - issn: 0165-6074 - wos: WOS:A1993ME15200001 (2) - scopus: 2-s2.0-0027677311 (3)
11573/477987 - 1993 -
A delay insensitive approach to VLSI design of a DRAM controller P., Danielli; A., De Gloria; P., Faraboschi; Olivieri, Mauro - 01a Articolo in rivista
paper: MICROPROCESSING AND MICROPROGRAMMING (Elsevier BV:PO Box 211, 1000 AE Amsterdam Netherlands:011 31 20 4853757, 011 31 20 4853642, 011 31 20 4853641, EMAIL: nlinfo-f@elsevier.nl, INTERNET: http://www.elsevier.nl, Fax: 011 31 20 4853598) pp. 19-22 - issn: 0165-6074 - wos: WOS:A1993KJ63600006 (0) - scopus: 2-s2.0-0027222329 (1)
11573/43154 - 1992 -
SPECTRAL ESTIMATION FOR 2-D DOPPLER ULTRASOUND IMAGING A., Costa; A., Defranciscis; A., Degloria; P., Faraboschi; Olivieri, Mauro - 01a Articolo in rivista
paper: ELECTRONICS LETTERS (Institution of Electrical Engineers:Michael Faraday House, 6 Hills Way, Stevenage Hertfordshire SG1 1AY United Kingdom:011 44 1438 313311, EMAIL: postmaster@iee.org, INTERNET: http://www.iee.org, Fax: 011 44 1438 313465) pp. 2177-2179 - issn: 0013-5194 - wos: WOS:A1992JY82300043 (0) - scopus: 2-s2.0-0027112892 (2)
11573/478011 - 1992 -
Asic and board design of a high performance parallel architecture A., De Gloria; P., Faraboschi; E., Guidetti; Olivieri, Mauro - 04b Atto di convegno in volume
conference: Euro ASIC 92 (Paris, France)
book: Proceedings of EuroASIC92 - (0818628456)
11573/477994 - 1992 -
A non-deterministic scheduler for a software pipelining compiler. Alessandro De, Gloria; Paolo, Faraboschi; Olivieri, Mauro - 04b Atto di convegno in volume
conference: 25th Annual International Symposium on Microarchitecture (Portland, U.S.A.)
book: Proceedings of the In 25th Annual International Symposium on Microarchitecture - (9780818631757)
11573/478002 - 1992 -
VLSI design of a neural processing element for the Boltzmann machine P., Antognetti; A., De Gloria; P., Faraboschi; Olivieri, Mauro; A., Taddeo - 04b Atto di convegno in volume
conference: Fifth Annual IEEE InternationalASIC Conference and Exhibit (Rochester, USA)
book: Proceedings of the Fifth Annual IEEE InternationalASIC Conference and Exhibit - (0780307682)
11573/478007 - 1992 -
A standard cell set for delay insensitive VLSI design P., Antognetti; P., Danielli; A., De Gloria; P., Faraboschi; Olivieri, Mauro - 04b Atto di convegno in volume
conference: Fifth Annual IEEE International ASIC Conference and Exhibit (Rochester, USA)
book: Proceedings of Fifth Annual IEEE International ASIC Conference and Exhibit - (0780307682)