MARCELLO BARBIROTTA

Dottore di ricerca

ciclo: XXXVI


relatore: prof. Mauro Olivieri

Titolo della tesi: Enhancing Fault Tolerance in Interleaved Multi-Threading RISC-V Processors: A Microarchitectural Approach

In the rapidly advancing technological era, the likelihood of errors arising from voltage glitches caused by ionizing particles in digital chips rises as the minimum feature size and voltage margins decrease, compounded by increased statistical process variations [6][67][14]. The capacity to address circuit faults to preserve functional safety, ensuring the reliability and resilience of critical applications, commonly called fault tolerance (FT), has conventionally been associated with aerospace, avionics, and military applications where uninterrupted functionality is imperative for mission success, safety, and operational efficiency. However, it has been recognized as playing a central role in ground-level industrial processes, crucial for economic efficiency, safety of workers and intelligent transportation systems [15], which increasingly rely on digital integrated circuits. This work fits the vast scenario of microprocessor devices for fault tolerance applications, proposing an Interleave Multi-Threading (IMT) set of structures with a detailed architectural analysis and new fault tolerance techniques correlated with interesting performance, overhead and power consumption results.

Produzione scientifica

11573/1714531 - 2024 - Design, Implementation and Evaluation of a New Variable Latency Integer Division Scheme
Angioli, Marco; Barbirotta, Marcello; Cheikh, Abdallah; Mastrandrea, Antonio; Menichelli, Francesco; Jamili, Saeid; Olivieri, Mauro - 01a Articolo in rivista
rivista: IEEE TRANSACTIONS ON COMPUTERS (New York: [Institute of Electrical and Electronics Engineers]) pp. 1767-1779 - issn: 1557-9956 - wos: WOS:001246169700005 (0) - scopus: 2-s2.0-85190168010 (0)

11573/1703898 - 2024 - Measurements of exhaled CO2 through a novel telemedicine tool
Casalinuovo, S.; Buzzin, A.; Barbirotta, M.; Mastrandrea, A.; Puglisi, D.; De Cesare, G.; Caputo, D. - 04b Atto di convegno in volume
congresso: 54th Annual Meeting of the Italian Electronics Society, SIE 2023 (Noto (SR); Italy)
libro: Lecture Notes in Electrical Engineering - (9783031487101; 9783031487118)

11573/1682695 - 2023 - Contextual bandits algorithms for reconfigurable hardware accelerators
Angioli, Marco; Barbirotta, Marcello; Cheikh, Abdallah; Mastrandrea, Antonio; Menichelli, Francesco; Jamili, Saeid; Olivieri, Mauro - 04b Atto di convegno in volume
congresso: Applications in Electronics Pervading Industry, Environment and Society (Genoa; Italy)
libro: Lecture notes in electrical engineering - (978-3-031-30333-3)

11573/1692951 - 2023 - Automatic hardware accelerators reconfiguration through linearUCB algorithms on a RISC-V processor
Angioli, Marco; Barbirotta, Marcello; Mastrandrea, Antonio; Jamili, Saeid; Olivieri, Mauro - 04b Atto di convegno in volume
congresso: 18th Conference on Ph.D Research in Microelectronics and Electronics (PRIME) (Valencia; Spain)
libro: 2023 18th Conference on Ph.D Research in Microelectronics and Electronics (PRIME) - (979-8-3503-0320-9)

11573/1692822 - 2023 - Fault-tolerant hardware acceleration for high-performance edge-computing nodes
Barbirotta, M.; Cheikh, A.; Mastrandrea, A.; Menichelli, F.; Angioli, M.; Jamili, S.; Olivieri, M. - 01a Articolo in rivista
rivista: ELECTRONICS (Basel : MDPI) pp. 1-15 - issn: 2079-9292 - wos: WOS:001061043700001 (1) - scopus: 2-s2.0-85170563355 (3)

11573/1685071 - 2023 - Improving SET fault resilience by exploiting buffered DMR microarchitecture
Barbirotta, Marcello; Mastrandrea, Antonio; Cheikh, Abdallah; Menichelli, Francesco; Olivieri, Mauro - 02a Capitolo o Articolo
libro: SIE 2022. Proceedings of SIE 2022 - (978-3-031-26065-0; 978-3-031-26066-7)

11573/1692824 - 2023 - Homogeneous Tightly-Coupled Dual Core Lock-Step with No Checkpointing Redundancy
Barbirotta, Marcello; Menichelli, Francesco; Mastrandrea, Antonio; Cheikh, Abdallah; Jamili, Saeid; Angioli, Marco; Olivieri, Mauro - 04b Atto di convegno in volume
congresso: 54th Annual Meeting of the Italian Electronics Society (Noto (SR), Italy)
libro: SIE 2023: Proceedings of SIE 2023 - (978-3-031-48710-1; 978-3-031-48711-8)

11573/1673256 - 2023 - 3D-Printed Face Mask with Integrated Sensors as Protective and Monitoring Tool
Casalinuovo, Silvia; Buzzin, Alessio; Mastrandrea, Antonio; Mazzetta, Ivan; Barbirotta, Marcello; Iannascoli, Lorenzo; Nascetti, Augusto; De Cesare, Giampiero; Puglisi, Donatella; Caputo, Domenico - 04b Atto di convegno in volume
congresso: XXI Conferenza Nazionale Sensori e Microsistemi (AISEM 2022) (Roma)
libro: Sensors and Microsystems Proceedings of AISEM 2022 - (978-3-031-25705-6; 978-3-031-25706-3)

11573/1682722 - 2023 - Implementation of dynamic acceleration unit exchange on a RISC-V soft-processor
Jamili, Saeid; Cheikh, Abdallah; Mastrandrea, Antonio; Barbirotta, Marcello; Menichelli, Francesco; Angioli, Marco; Olivieri, Mauro - 04b Atto di convegno in volume
congresso: Applications in Electronics Pervading Industry, Environment and Society (Genoa; Italy)
libro: Lecture Notes in Electrical Engineering - (978-3-031-30333-3)

11573/1669450 - 2022 - Analysis of a Fault Tolerant Edge-Computing Microarchitecture Exploiting Vector Acceleration
Barbirotta, Marcello; Cheikh, Abdallah; Mastrandrea, Antonio; Menichelli, Francesco; Olivieri, Mauro - 04b Atto di convegno in volume
congresso: 2022 17th Conference on Ph.D Research in Microelectronics and Electronics (PRIME) (Villasimius, SU, Italy)
libro: PRIME 2022 - 17th International Conference on Ph.D Research in Microelectronics and Electronics, Proceedings - (978-1-6654-6700-1)

11573/1669461 - 2022 - Design and evaluation of buffered triple modular redundancy in interleaved-multi-threading processors
Barbirotta, Marcello; Cheikh, Abdallah; Mastrandrea, Antonio; Menichelli, Francesco; Olivieri, Mauro - 01a Articolo in rivista
rivista: IEEE ACCESS (Piscataway NJ: Institute of Electrical and Electronics Engineers) pp. 126074-126088 - issn: 2169-3536 - wos: WOS:000896597600001 (3) - scopus: 2-s2.0-85144067405 (9)

11573/1669457 - 2022 - Evaluation of Dynamic Triple Modular Redundancy in an Interleaved-Multi-Threading RISC-V Core
Barbirotta, Marcello; Cheikh, Abdallah; Mastrandrea, Antonio; Menichelli, Francesco; Ottavi, Marco; Olivieri, Mauro - 01a Articolo in rivista
rivista: JOURNAL OF LOW POWER ELECTRONICS AND APPLICATIONS (Basel : MDPI) pp. 1-13 - issn: 2079-9268 - wos: WOS:000955847900001 (6) - scopus: 2-s2.0-85150985066 (10)

11573/1622494 - 2021 - A Fault Tolerant soft-core obtained from an Interleaved-Multi- Threading RISC- V microprocessor design
Barbirotta, Marcello; Cheikh, Abdallah; Mastrandrea, Antonio; Menichelli, Francesco; Vigli, Francesco; Olivieri, Mauro - 04b Atto di convegno in volume
congresso: 34rd IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2021 (Online; Greece)
libro: 34rd IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2021 - (978-1-6654-1609-2)

11573/1540143 - 2020 - Fault resilience analysis of a RISC-V microprocessor design through a dedicated UVM environment
Barbirotta, M.; Mastrandrea, A.; Menichelli, F.; Vigli, F.; Blasi, L.; Cheikh, A.; Sordillo, S.; Di Gennaro, F.; Olivieri, M. - 04b Atto di convegno in volume
congresso: 33rd IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2020 (Online; Italy)
libro: 33rd IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2020 - (978-1-7281-9457-8)

11573/1461039 - 2020 - ADMM consensus for deep LSTM networks
Rosato, A.; Succetti, F.; Barbirotta, M.; Panella, M. - 04b Atto di convegno in volume
congresso: 2020 International Joint Conference on Neural Networks, IJCNN 2020 (Glasgow (virtual), U.K.)
libro: Proceedings of the International Joint Conference on Neural Networks - (978-1-7281-6926-2)

© Università degli Studi di Roma "La Sapienza" - Piazzale Aldo Moro 5, 00185 Roma