GIUSEPPE SCOTTI

Associate professor


email: giuseppe.scotti@uniroma1.it
phone: 0644585690
building: RM32
room: 306

Giuseppe Scotti was born in Cagliari, Italy, in 1975. He received the M.S. and Ph.D. degrees in electronic engineering from the University of Rome “La Sapienza”, Rome, Italy, in 1999 and 2003, respectively. In 2010, he became a Researcher (Assistant Professor) at the DIET department of the university of Rome "La Sapienza" and in 2015 he was appointed an Associate Professor in the same department. He teaches undergraduate and graduate courses on basic electronics and microelectronics. His research activity was mainly concerned with integrated circuits design and focused on design methodologies able to guarantee robustness with respect to parameter variations in both analog circuits and digital VLSI circuits. In the context of analog design his research activity was concerned with circuit topologies for the realization of low-voltage analog building blocks using ultra-short channel CMOS technology and with the development of current mode analog functions. He has been also involved in R&D activities held in collaboration between “La Sapienza” University and some industrial partners which led, between 2000 and 2015, to the implementation of 13 ASICs. He has coauthored more than 45 publications in international Journals, about 70 contributions in conference proceedings and is the co-inventor of 2 international patents. SKILLS More than fifteen years experience in Analog integrated circuits design using Cadence environment from schematic level simulation up to layout. More than ten years experience in Digital integrated circuits design, RTL/VHDL coding, synthesis, timing analysis and place & route, using Synopsys tools for frontend design and Cadence tools for backend. Digital signal processing algorithms for digital receivers, satellite communications and ranging applications from system level (e.g. matlab/simulink) to VHDL/FPGA implementation and test. RESEARCH PROJECTS 2004-2006: participation to the STREP european project under the VI° framework program “SCARD - Side Channel Attacks Resistant Design”. 2007-2009: participation to the Integrated european project under under the VI° framework program SHAPES (Scalable Software Hardware Application Platform for Embedded Systems). 2010-2013: participation and technical coordination of the technological development project of the Italian Space Agency (ASI) “DIVA” for the implementation of a DVB-S2/DVB-RCS user terminal in a single chip. PUBLICATIONS -More than 50 papers on international journals -More than 70 papers on international conferences -2 patents -H-index 16 (Scopus)

Research products

11573/1700705 - 2024 - An Ultra Low Voltage Physical Unclonable Function Exploiting Body-Driven Feedbacks
Della Sala, Riccardo; Bellizia, Davide; Centurelli, Francesco; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: SIE 23: 54th Annual Meeting of the Associazione Società Italiana di Elettronica (Noto)
book: SIE 23: 54th Annual Meeting of the Associazione Società Italiana di Elettronica - (978-3-031-48710-1; 978-3-031-48711-8)

11573/1703620 - 2024 - A Novel High Performance Standard-Cell Based ULV OTA Exploiting an Improved Basic Amplifier
Sala, Riccardo Della; Centurelli, Francesco; Scotti, Giuseppe - 01a Articolo in rivista
paper: IEEE ACCESS (Piscataway NJ: Institute of Electrical and Electronics Engineers) pp. 17513-17521 - issn: 2169-3536 - wos: WOS:001158879500001 (0) - scopus: 2-s2.0-85183963246 (0)

11573/1700700 - 2024 - Rail to Rail ICMR and High Performance ULV Standard-Cell-Based Comparator for Biomedical and IoT Applications
Sala, Riccardo Della; Centurelli, Francesco; Scotti, Giuseppe; Palumbo, Gaetano - 01a Articolo in rivista
paper: IEEE ACCESS (Piscataway NJ: Institute of Electrical and Electronics Engineers) pp. 4642-4659 - issn: 2169-3536 - wos: WOS:001142703000001 (0) - scopus: 2-s2.0-85181574877 (0)

11573/1686613 - 2023 - High-accuracy low-cost generalized complex pruned Volterra models for nonlinear calibration
Bocciarelli, Cristian; Centurelli, Francesco; Monsurro, Pietro; Scotti, Giuseppe; Spinogatti, Valerio; Tommasino, Pasquale; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS (Piscataway NJ: Institute of Electrical and Electronics Engineers, 2004-) pp. 3534-3544 - issn: 1558-0806 - wos: WOS:001019441000001 (0) - scopus: 2-s2.0-85163505532 (0)

11573/1683077 - 2023 - A body-driven rail-to-rail 0.3 V operational transconductance amplifier exploiting current gain stages
Della Sala, R; Centurelli, F; Monsurro, P; Scotti, G; Trifiletti, A - 01a Articolo in rivista
paper: INTERNATIONAL JOURNAL OF CIRCUIT THEORY AND APPLICATIONS (Chichester, John Wiley & Sons, Ltd.) pp. 1971-1987 - issn: 0098-9886 - wos: WOS:000899906700001 (1) - scopus: 2-s2.0-85144261728 (1)

11573/1673527 - 2023 - A Monostable Physically Unclonable Function Based on Improved RCCMs with 0–1.56% Native Bit Instability at 0.6–1.2 V and 0–75 °C
Della Sala, Riccardo; Bellizia, Davide; Centurelli, Francesco; Scotti, Giuseppe - 01a Articolo in rivista
paper: ELECTRONICS (Basel : MDPI) pp. 1-14 - issn: 2079-9292 - wos: WOS:000929280300001 (4) - scopus: (0)

11573/1673525 - 2023 - A 0.3 V Three-Stage Body-Driven OTA
Della Sala, Riccardo; Centurelli, Francesco; Monsurro, Pietro; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: SIE22 53rd Annual Meeting of the Associazione Società Italiana di Elettroni (Pizzo Calabro)
book: Proceedings of SIE 2022 Annual Meeting of the Italian Electronics Society - (978-3-031-26065-0; 978-3-031-26066-7)

11573/1673563 - 2023 - A 0.3V Rail-to-Rail Three-Stage OTA With High DC Gain and Improved Robustness to PVT Variations
Della Sala, Riccardo; Centurelli, Francesco; Monsurro, Pietro; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE ACCESS (Piscataway NJ: Institute of Electrical and Electronics Engineers) pp. 19635-19644 - issn: 2169-3536 - wos: WOS:000943483500001 (4) - scopus: 2-s2.0-85149392398 (4)

11573/1679083 - 2023 - A High Performance 0.3 V Standard-Cell-Based OTA Suitable for Automatic Layout Flow
Della Sala, Riccardo; Centurelli, Francesco; Scotti, Giuseppe - 01a Articolo in rivista
paper: APPLIED SCIENCES (Basel: MDPI AG, 2011-) pp. 1-18 - issn: 2076-3417 - wos: WOS:000987389800001 (2) - scopus: 2-s2.0-85159320782 (3)

11573/1686616 - 2023 - Standard-cell-based comparators for ultra-low voltage application. Analysis and Comparisons
Della Sala, Riccardo; Centurelli, Francesco; Scotti, Giuseppe; Palumbo, Gaetano - 01a Articolo in rivista
paper: CHIPS (Basel Switzerland: MDPI AG, 2022-) pp. 173-194 - issn: 2674-0729 - wos: (0) - scopus: (0)

11573/1666923 - 2023 - A detailed model of cyclostationary noise in switched-resistor circuits
Fava, Alessandro; Centurelli, Francesco; Scotti, Giuseppe - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS (Piscataway NJ: Institute of Electrical and Electronics Engineers, 2004-) pp. 667-679 - issn: 1558-0806 - wos: WOS:000890103000001 (1) - scopus: 2-s2.0-85144043540 (2)

11573/1686620 - 2023 - Wide-Band Shared LNA for Large Scale Neural Recording Applications
Fava, Alessandro; Centurelli, Francesco; Vittimberga, Andrea; Scotti, Giuseppe - 04b Atto di convegno in volume
conference: SMACD 23: International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (Funchal)
book: SMACD 23: International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design - (979-8-3503-3265-0)

11573/1626932 - 2022 - A 0.5 V Sub-Threshold {CMOS} Current-Controlled Ring Oscillator for {IoT} and Implantable Devices
Ballo, Andrea; Pennisi, Salvatore; Scotti, Giuseppe; Venezia, Chiara - 01a Articolo in rivista
paper: JOURNAL OF LOW POWER ELECTRONICS AND APPLICATIONS (Basel : MDPI) pp. 1-17 - issn: 2079-9268 - wos: WOS:000774792400001 (4) - scopus: 2-s2.0-85126670387 (6)

11573/1631051 - 2022 - A Tree-Based Architecture for High-Performance Ultra-Low-Voltage Amplifiers
Centurelli, F.; Della Sala, R.; Monsurro, P.; Scotti, G.; Trifiletti, A. - 01a Articolo in rivista
paper: JOURNAL OF LOW POWER ELECTRONICS AND APPLICATIONS (Basel : MDPI) pp. 1-19 - issn: 2079-9268 - wos: WOS:000774795800001 (14) - scopus: 2-s2.0-85125101043 (17)

11573/1621511 - 2022 - A biasing approach to design Ultra-Low-Power Standard-Cell-Based Analog Building Blocks for Nanometer SoCs
Centurelli, F.; Giustolisi, G.; Pennisi, S.; Scotti, G. - 01a Articolo in rivista
paper: IEEE ACCESS (Piscataway NJ: Institute of Electrical and Electronics Engineers) pp. 25892-25900 - issn: 2169-3536 - wos: WOS:000769954700001 (9) - scopus: 2-s2.0-85125735794 (9)

11573/1634359 - 2022 - A standard-cell-based CMFB for fully synthesizable OTAs
Centurelli, Francesco; Della Sala, Riccardo; Scotti, Giuseppe - 01a Articolo in rivista
paper: JOURNAL OF LOW POWER ELECTRONICS AND APPLICATIONS (Basel : MDPI) pp. - - issn: 2079-9268 - wos: WOS:000816435900001 (12) - scopus: 2-s2.0-85132641391 (14)

11573/1627351 - 2022 - 80 dB tuning range transimpedance amplifier exploiting the Switched-Resistor approach
Centurelli, Francesco; Fava, Alessandro; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: AEÜ. INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATIONS (Urban & Fischer Verlag Jena:Postfach 100537, D-07705 Jena Germany:011 49 3641 626430, EMAIL: journals@urbanfischer.de, INTERNET: http://www.urbanfischer.de, Fax: 011 49 3641 626500) pp. 1-9 - issn: 1434-8411 - wos: WOS:000795771000001 (4) - scopus: 2-s2.0-85127501183 (4)

11573/1655460 - 2022 - Methods for Model Complexity Reduction for the Nonlinear Calibration of Amplifiers Using Volterra Kernels
Centurelli, Francesco; Monsurro, P.; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 01a Articolo in rivista
paper: ELECTRONICS (Basel : MDPI) pp. 1-21 - issn: 2079-9292 - wos: WOS:000866847900001 (1) - scopus: 2-s2.0-85139873863 (1)

11573/1604156 - 2022 - A SiGe HBT 6th-order 10 GHz inductor-less anti-aliasing low-pass filter for high-speed ATI digitizers
Centurelli, Francesco; Monsurro, Pietro; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS (IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 445 HOES LANE, PISCATAWAY, USA, NJ, 08855) pp. 100-113 - issn: 1549-8328 - wos: WOS:000733181300001 (3) - scopus: 2-s2.0-85123534272 (5)

11573/1652214 - 2022 - High-efficiency 0.3V OTA in CMOS 130nm technology using current mirrors with gain
Della Sala, R.; Centurelli, F.; Monsurro, P.; Scotti, G. - 04b Atto di convegno in volume
conference: PRIME 22: 17th Conference on Ph.D. Research in Microelectronics and Electronics (Villasimius)
book: PRIME 22: 17th Conference on Ph.D. Research in Microelectronics and Electronics - (978-1-6654-6700-1)

11573/1654883 - 2022 - High-Throughput FPGA-Compatible TRNG Architecture Exploiting Multistimuli Metastable Cells
Della Sala, Riccardo.; Bellizia, Davide; Scotti, Giuseppe - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS (IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 445 HOES LANE, PISCATAWAY, USA, NJ, 08855) pp. 4886-4897 - issn: 1549-8328 - wos: WOS:000849236900001 (13) - scopus: 2-s2.0-85137586616 (16)

11573/1654877 - 2022 - A Lightweight {FPGA} Compatible Weak-{PUF} Primitive Based on {XOR} Gates
Della Sala, Riccardo; Bellizia, Davide; Scotti, Giuseppe - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. II, EXPRESS BRIEFS (Piscataway, NJ : Institute of Electrical and Electronics Engineers, c2004-) pp. 2972-2976 - issn: 1549-7747 - wos: WOS:000804726500084 (15) - scopus: 2-s2.0-85125734769 (17)

11573/1654880 - 2022 - A Novel Ultra-Compact {FPGA}-Compatible {TRNG} Architecture Exploiting Latched Ring Oscillators
Della Sala, Riccardo; Bellizia, Davide; Scotti, Giuseppe - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. II, EXPRESS BRIEFS (Piscataway, NJ : Institute of Electrical and Electronics Engineers, c2004-) pp. 1672-1676 - issn: 1549-7747 - wos: WOS:000770045800205 (26) - scopus: 2-s2.0-85118241366 (27)

11573/1656120 - 2022 - Sub-μW front-end low noise amplifier for neural recording applications
Della Sala, Riccardo; Centurelli, Francesco; Monsurro, Pietro; Scotti, Giuseppe - 04b Atto di convegno in volume
conference: 17th International Conference on Ph.D Research in Microelectronics and Electronics, PRIME 2022 (Villasimius; Italy)
book: PRIME 2022 - 17th International Conference on Ph.D Research in Microelectronics and Electronics, Proceedings - (978-1-6654-6700-1)

11573/1654876 - 2022 - A Novel differential to single-ended converter for ultra-low-voltage inverter-based OTAs
Della Sala, Riccardo; Centurelli, Francesco; Scotti, Giuseppe - 01a Articolo in rivista
paper: IEEE ACCESS (Piscataway NJ: Institute of Electrical and Electronics Engineers) pp. 98179-98190 - issn: 2169-3536 - wos: WOS:000857358700001 (9) - scopus: 2-s2.0-85139191757 (11)

11573/1654882 - 2022 - Enabling ULV fully synthesizable analog circuits. The BA cell, a standard-cell-based building block for analog design
Della Sala, Riccardo; Centurelli, Francesco; Scotti, Giuseppe. - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. II, EXPRESS BRIEFS (Piscataway, NJ : Institute of Electrical and Electronics Engineers, c2004-) pp. 4689-4693 - issn: 1549-7747 - wos: WOS:000922028300013 (11) - scopus: 2-s2.0-85136874403 (13)

11573/1660790 - 2022 - A Differential-to-Single-Ended Converter Based on Enhanced Body-Driven Current Mirrors Targeting Ultra-Low-Voltage OTAs
Della Sala, Riccardo; Centurelli, Francesco; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 01a Articolo in rivista
paper: ELECTRONICS (Basel : MDPI) pp. 1-17 - issn: 2079-9292 - wos: WOS:000896344400001 (4) - scopus: 2-s2.0-85143731222 (7)

11573/1654879 - 2022 - A novel clock gating approach for the design of low-power linear feedback shift register
Giustolisi, Gianluca; Mita, Rosario; Palumbo, Gaetano; Scotti, Giuseppe - 01a Articolo in rivista
paper: IEEE ACCESS (Piscataway NJ: Institute of Electrical and Electronics Engineers) pp. 1-7 - issn: 2169-3536 - wos: WOS:000861315200001 (2) - scopus: 2-s2.0-85139454479 (3)

11573/1615026 - 2021 - 0.5 v cmos inverter-based transconductance amplifier with quiescent current control
Ballo, Andrea; Pennisi, Salvatore; Scotti, Giuseppe - 01a Articolo in rivista
paper: JOURNAL OF LOW POWER ELECTRONICS AND APPLICATIONS (Basel : MDPI) pp. 1-9 - issn: 2079-9268 - wos: WOS:000739003100001 (11) - scopus: 2-s2.0-85116484254 (11)

11573/1557539 - 2021 - SC-DDPL as a countermeasure against static power side-channel attacks
Bellizia, Davide; Della Sala, Riccardo; Scotti, Giuseppe - 01a Articolo in rivista
paper: CRYPTOGRAPHY (Computer science and technology. Computing. Data processing) pp. - - issn: 2410-387X - wos: WOS:000699064900001 (0) - scopus: 2-s2.0-85109391760 (1)

11573/1604150 - 2021 - An E-band variable gain amplifier with 24 dB-control range and 80 to 100 GHz 1 dB bandwidth in SiGe BiCMOS technology
Centurelli, F.; Monsurro, P.; Scotti, G.; Tommasino, P.; Trifiletti, A. - 01a Articolo in rivista
paper: FREQUENZ (Fachverlag Schiele und Schoen:Postfach 610280, D-10924 Berlin Germany:011 49 30 25375223, EMAIL: service@schiele-schoen.de, Fax: 011 49 30 2517248) pp. 479-485 - issn: 0016-1136 - wos: WOS:000715514000004 (1) - scopus: 2-s2.0-85115317537 (1)

11573/1544779 - 2021 - A very-olw-voltage frequency divider in folded MOS current mode logic with complementary n- and p-type flip-flops
Centurelli, F.; Scotti, G.; Palumbo, G. - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 998-1008 - issn: 1063-8210 - wos: WOS:000645084200015 (8) - scopus: 2-s2.0-85101805171 (9)

11573/1477655 - 2021 - Design of low-voltage power efficient frequency dividers in folded MOS current mode logic
Centurelli, F.; Scotti, G.; Trifiletti, A.; Palumbo, G. - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS (IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 445 HOES LANE, PISCATAWAY, USA, NJ, 08855) pp. 680-691 - issn: 1549-8328 - wos: WOS:000608691300011 (4) - scopus: 2-s2.0-85096824667 (8)

11573/1542647 - 2021 - A 0.3 V rail-to-rail ultra-low-power OTA with improved bandwidth and slew rate
Centurelli, Francesco; Della Sala, Riccardo; Monsurrò, Pietro; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: JOURNAL OF LOW POWER ELECTRONICS AND APPLICATIONS (Basel : MDPI) pp. 1-15 - issn: 2079-9268 - wos: WOS:000668187700001 (17) - scopus: 2-s2.0-85106322381 (21)

11573/1562417 - 2021 - A novel OTA architecture exploiting current gain stages to boost bandwidth and slew-rate
Centurelli, Francesco; Della Sala, Riccardo; Monsurrò, Pietro; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: ELECTRONICS (Basel : MDPI) pp. - - issn: 2079-9292 - wos: WOS:000676685800001 (8) - scopus: 2-s2.0-85109249308 (13)

11573/1519079 - 2021 - A 0.3 V, rail-to-rail, ultralow-power, non-tailed, body-driven, sub-threshold amplifier
Centurelli, Francesco; Della Sala, Riccardo; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: APPLIED SCIENCES (Basel: MDPI AG, 2011-) pp. 1-16 - issn: 2076-3417 - wos: WOS:000645808400001 (20) - scopus: 2-s2.0-85103071683 (22)

11573/1563291 - 2021 - Distributed switched-resistor approach for high-Q biquad filters
Centurelli, Francesco; Fava, Alessandro; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: AEÜ. INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATIONS (Urban & Fischer Verlag Jena:Postfach 100537, D-07705 Jena Germany:011 49 3641 626430, EMAIL: journals@urbanfischer.de, INTERNET: http://www.urbanfischer.de, Fax: 011 49 3641 626500) pp. - - issn: 1434-8411 - wos: WOS:000684588900062 (5) - scopus: 2-s2.0-85111041337 (6)

11573/1567317 - 2021 - A detailed model of the switched-resistor technique
Centurelli, Francesco; Fava, Alessandro; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE OPEN JOURNAL OF CIRCUITS AND SYSTEMS (New York NY: Institute of Electrical and Electronics Engineers Inc., [2020-]) pp. 497-507 - issn: 2644-1225 - wos: WOS:000683683500001 (2) - scopus: (0)

11573/1551382 - 2021 - 0.5-V frequency dividers in folded MCML exploiting forward body bias: analysis and comparison
Centurelli, Francesco; Scotti, Giuseppe; Palumbo, Gaetano - 01a Articolo in rivista
paper: ELECTRONICS (Basel : MDPI) pp. 1-17 - issn: 2079-9292 - wos: WOS:000666074900001 (2) - scopus: 2-s2.0-85107436033 (2)

11573/1546922 - 2021 - A low-voltage high-performance frequency divider exploiting folded MCML
Centurelli, Francesco; Scotti, Giuseppe; Trifiletti, Alessandro; Palumbo, Gaetano - 04b Atto di convegno in volume
conference: ISCAS 2021 IEEE International ssymposium on circuits and systems (Daegu; Korea)
book: ISCAS 2021 IEEE International Symposium on Circuits and Systems - (978-1-7281-9201-7)

11573/1540122 - 2021 - Klessydra-T: Designing vector coprocessors for multithreaded edge-computing cores
Cheikh, A.; Sordillo, S.; Mastrandrea, A.; Menichelli, F.; Scotti, G.; Olivieri, M. - 01a Articolo in rivista
paper: IEEE MICRO (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 64-71 - issn: 0272-1732 - wos: WOS:000639559200011 (14) - scopus: 2-s2.0-85099594718 (19)

11573/1568230 - 2021 - A novel ultra-compact FPGA PUF: The DD-PUF
Della Sala, Riccardo; Bellizia, Davide; Scotti, Giuseppe - 01a Articolo in rivista
paper: CRYPTOGRAPHY (Computer science and technology. Computing. Data processing) pp. - - issn: 2410-387X - wos: WOS:000699166200001 (10) - scopus: 2-s2.0-85114868770 (17)

11573/1614996 - 2021 - A novel standard-cell-based implementation of the digital ota suitable for automatic place and route
Palumbo, Gaetano; Scotti, Giuseppe - 01a Articolo in rivista
paper: JOURNAL OF LOW POWER ELECTRONICS AND APPLICATIONS (Basel : MDPI) pp. 1-10 - issn: 2079-9268 - wos: WOS:000737779600001 (13) - scopus: 2-s2.0-85118196550 (14)

11573/1532330 - 2020 - SC-DDPL. A novel standard-cell based approach for counteracting power analysis attacks in the presence of unbalanced routing
Bellizia, D.; Bongiovanni, S.; Olivieri, M.; Scotti, G. - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS (IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 445 HOES LANE, PISCATAWAY, USA, NJ, 08855) pp. 2317-2330 - issn: 1549-8328 - wos: WOS:000543971900015 (12) - scopus: 2-s2.0-85088267211 (16)

11573/1391870 - 2020 - 0.6-V CMOS cascode OTA with complementary gate-driven gain-boosting and forward body bias
Cellucci, D.; Centurelli, F.; Di Stefano, V.; Monsurro, P.; Pennisi, S.; Scotti, G.; Trifiletti, A. - 01a Articolo in rivista
paper: INTERNATIONAL JOURNAL OF CIRCUIT THEORY AND APPLICATIONS (Chichester, John Wiley & Sons, Ltd.) pp. 15-27 - issn: 0098-9886 - wos: WOS:000486517400001 (18) - scopus: 2-s2.0-85073773293 (21)

11573/1435575 - 2020 - Low power switched-resistor band-pass filter for neural recording channels in 130nm CMOS
Centurelli, F.; Fava, A.; Monsurro, P.; Scotti, G.; Tommasino, P.; Trifiletti, A. - 01a Articolo in rivista
paper: HELIYON (United Kingdom: Elsevier Limited) pp. 1-6 - issn: 2405-8440 - wos: (0) - scopus: 2-s2.0-85089675665 (9)

11573/1391873 - 2020 - 10-GHz fully differential Sallen–Key lowpass biquad filters in 55nm SiGe BICMOS technology
Centurelli, F.; Monsurro, P.; Scotti, G.; Tommasino, P.; Trifiletti, A. - 01a Articolo in rivista
paper: ELECTRONICS (Basel : MDPI) pp. 1-14 - issn: 2079-9292 - wos: WOS:000539533200022 (3) - scopus: 2-s2.0-85083061674 (4)

11573/1453165 - 2020 - An improved reversed miller compensation technique for three-stage CMOS OTAs with double pole-zero cancellation and almost single-pole frequency response
Centurelli, F.; Monsurro, P.; Scotti, G.; Tommasino, P.; Trifiletti, A. - 01a Articolo in rivista
paper: INTERNATIONAL JOURNAL OF CIRCUIT THEORY AND APPLICATIONS (Chichester, John Wiley & Sons, Ltd.) pp. 1990-2005 - issn: 0098-9886 - wos: WOS:000539076200001 (3) - scopus: 2-s2.0-85086170563 (4)

11573/1391896 - 2020 - Delay models and design guidelines for MCML gates with resistor or PMOS load
Centurelli, F.; Scotti, G.; Trifiletti, A.; Palumbo, G. - 01a Articolo in rivista
paper: MICROELECTRONICS JOURNAL (Elsevier Advanced Technology:P O Box 150, Kidlington OX5 1AS United Kingdom:011 44 1865 843687, 011 44 1865 843699, EMAIL: eatsales@elsevier.co.uk, INTERNET: http://www.elsevier.com, Fax: 011 44 1865 843971) pp. 1-7 - issn: 0959-8324 - wos: WOS:000531815700011 (7) - scopus: 2-s2.0-85081743243 (7)

11573/1462871 - 2020 - A power efficient frequency divider with 55 GHz self-oscillating frequency in SiGe BiCMOS
Centurelli, Francesco; Monsurrò, Pietro; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 01a Articolo in rivista
paper: ELECTRONICS (Basel : MDPI) pp. - - issn: 2079-9292 - wos: WOS:000593527400001 (3) - scopus: 2-s2.0-85096567282 (5)

11573/1506486 - 2020 - A multi-folded MCML for ultra-low-voltage high-performance in deeply scaled CMOS
Palumbo, G.; Scotti, G. - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS (IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 445 HOES LANE, PISCATAWAY, USA, NJ, 08855) pp. 4696-4706 - issn: 1549-8328 - wos: WOS:000596021000045 (5) - scopus: 2-s2.0-85097353338 (7)

11573/1390727 - 2020 - A novel 0.5 v MCML D-flip-flop topology exploiting forward body bias threshold lowering
Scotti, G.; Trifiletti, A.; Palumbo, G. - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. II, EXPRESS BRIEFS (Piscataway, NJ : Institute of Electrical and Electronics Engineers, c2004-) pp. 560-564 - issn: 1549-7747 - wos: WOS:000526541600031 (17) - scopus: 2-s2.0-85080891857 (21)

11573/1461313 - 2019 - Area-efficient low-power bandpass Gm-C filter for epileptic seizure detection in 130nm CMOS
Della Sala, R.; Monsurro, P.; Scotti, G.; Trifiletti, A. - 04b Atto di convegno in volume
conference: 2019 26th IEEE International conference on electronics. Circuits and systems, ICECS 2019 (Genoa; Italy)
book: 2019 26th IEEE International conference on electronics. Circuits and systems, ICECS 2019 - (978-1-7281-0996-1)

11573/1390725 - 2019 - A novel 0.6V MCML D-latch topology exploiting dynamic body bias threshold lowering
Scotti, G.; Trifiletti, A.; Palumbo, G. - 04b Atto di convegno in volume
conference: 25th IEEE International Conference on Electronics Circuits and Systems, ICECS 2018 (fra)
book: 2018 25th IEEE International Conference on Electronics Circuits and Systems, ICECS 2018 - (978-1-5386-9562-3)

11573/1114348 - 2018 - Low power DDA-based instrumentation amplifier for neural recording applications in 65 nm CMOS
Avoli, Matteo; Centurelli, Francesco; Monsurrò, Pietro; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: AEÜ. INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATIONS (Urban & Fischer Verlag Jena:Postfach 100537, D-07705 Jena Germany:011 49 3641 626430, EMAIL: journals@urbanfischer.de, INTERNET: http://www.urbanfischer.de, Fax: 011 49 3641 626500) pp. 30-35 - issn: 1434-8411 - wos: WOS:000440261700005 (24) - scopus: 2-s2.0-85047422570 (25)

11573/1390723 - 2018 - TEL logic style as a countermeasure against side-channel attacks: secure cells library in 65nm CMOS and experimental results
Bellizia, D.; Scotti, G.; Trifiletti, A. - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS (IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 445 HOES LANE, PISCATAWAY, USA, NJ, 08855) pp. 3874-3884 - issn: 1549-8328 - wos: WOS:000446922100026 (11) - scopus: 2-s2.0-85051799862 (17)

11573/1506488 - 2018 - Secure implementation of TEL-compatible flip-flops using a standard-cell approach
Bellizia, D.; Scotti, G.; Trifiletti, A. - 04b Atto di convegno in volume
conference: 2018 IEEE International Symposium on Circuits and Systems, ISCAS 2018 (Florence; Italy)
book: Proceedings - IEEE International Symposium on Circuits and Systems - (978-1-5386-4881-0)

11573/1132796 - 2018 - Secure double rate registers as an RTL countermeasure against power analysis attacks
Bellizia, Davide; Bongiovanni, Simone; Monsurro', Pietro; Scotti, Giuseppe; Trifiletti, Alessandro; Trotta, Francesco Bruno - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 1368-1376 - issn: 1063-8210 - wos: WOS:000437031400014 (23) - scopus: 2-s2.0-85044744417 (28)

11573/1132792 - 2017 - Design-oriented models for quick estimation of path delay variability via the fan-out-of-4 metric
Alioto, Massimo; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 50th IEEE International Symposium on Circuits and Systems, ISCAS 2017 (usa)
book: Proceedings - IEEE International Symposium on Circuits and Systems - (9781467368520)

11573/961543 - 2017 - A novel framework to estimate the path delay variability on the back of an envelope via the fan-out-of-4s metric
Alioto, Massimo; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS (IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 445 HOES LANE, PISCATAWAY, USA, NJ, 08855) pp. 2073-2085 - issn: 1549-8328 - wos: WOS:000406463900011 (21) - scopus: 2-s2.0-85017469804 (24)

11573/874182 - 2017 - Univariate power analysis attacks exploiting static dissipation of nanometer CMOS VLSI circuits for cryptographic applications
Bellizia, Davide; Bongiovanni, Simone; Monsurro', Pietro; Trifiletti, Alessandro; Scotti, Giuseppe - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON EMERGING TOPICS IN COMPUTING (Piscataway: IEEE - Institute of Electrical and Electronics Engineers, Inc.) pp. 329-339 - issn: 2168-6750 - wos: WOS:000409342600004 (15) - scopus: 2-s2.0-85030118974 (23)

11573/1026452 - 2017 - Novel measurements setup for attacks exploiting static power using DC pico-ammeter
Bellizia, Davide; Cellucci, Danilo; Di Stefano, Valerio; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 2017 European Conference on Circuit Theory and Design (ECCTD) (Catania; Italy)
book: 2017 European Conference on Circuit Theory and Design (ECCTD) - (978-153863974-0)

11573/936336 - 2017 - Template attacks exploiting static power and application to CMOS lightweight crypto-hardware
Bellizia, Davide; Djukanovic, Milena; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: INTERNATIONAL JOURNAL OF CIRCUIT THEORY AND APPLICATIONS (Chichester ; New York, NY : John Wiley & Sons, Ltd.) pp. 229-241 - issn: 1097-007X - wos: WOS:000394580600007 (11) - scopus: 2-s2.0-85002835444 (13)

11573/1026454 - 2017 - Fully integrable current-mode feedback suppressor as an analog countermeasure against CPA attacks in 40nm CMOS technology
Bellizia, Davide; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 13th Conference on Ph.D. Research in Microelectronics and Electronics, PRIME 2017 (Giardini Naxos - Taormina; Italy)
book: PRIME 2017 - 13th Conference on PhD Research in Microelectronics and Electronics, Proceedings - (9781509065073)

11573/967164 - 2017 - Multivariate Analysis Exploiting Static Power on Nanoscale CMOS Circuits for Cryptographic Applications
Djukanovic, Milena; Bellizia, Davide; Scotti, Giuseppe; Trifiletti, Alessandro - 02a Capitolo o Articolo
book: Progress in Cryptology - AFRICACRYPT 2017 - (978-3-319-57338-0; 978-3-319-57339-7)

11573/945903 - 2017 - 0.9-V class-AB Miller OTA in 0.35-μm CMOS with threshold-lowered non-tailed differential pair
Grasso, Alfio Dario; Pennisi, Salvatore; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS (IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 445 HOES LANE, PISCATAWAY, USA, NJ, 08855) pp. 1740-1747 - issn: 1549-8328 - wos: WOS:000404294900010 (42) - scopus: 2-s2.0-85016435223 (54)

11573/1026450 - 2017 - Design of low-voltage high-speed CML D-latches in nanometer CMOS technologies
Scotti, Giuseppe; Bellizia, Davide; Trifiletti, Alessandro; Palumbo, Gaetano - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 3509-3520 - issn: 1063-8210 - wos: WOS:000416734700023 (22) - scopus: 2-s2.0-85030783712 (34)

11573/1026457 - 2016 - On-chip current-mode approach to thwart CPA attacks in CMOS nanometer technology
Bellizia, Davide; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: INTERNATIONAL JOURNAL OF MICROELECTRONICS AND COMPUTER SCIENCE (Łódź : Technical University. Department of Microelectronics and Computer Science) pp. 147-156 - issn: 2080-8755 - wos: (0) - scopus: (0)

11573/961545 - 2016 - On-chip analog current equalizer as a countermeasure against side-channel attacks in CMOS nanometer technology
Bellizia, Davide; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 23rd International Conference Mixed Design of Integrated Circuits and Systems, MIXDES 2016 (Lodz; Poland)
book: Proceedings of the 23rd International Conference Mixed Design of Integrated Circuits and Systems, MIXDES 2016 - (9788363578084)

11573/961547 - 2016 - Implementation of the present-80 block cipher and analysis of its vulnerability to side channel attacks exploiting static power
Bellizia, Davide; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 23rd International Conference Mixed Design of Integrated Circuits and Systems, MIXDES 2016 (Lodz; Poland)
book: Proceedings of the 23rd International Conference Mixed Design of Integrated Circuits and Systems, MIXDES 2016 - (9788363578084)

11573/911805 - 2016 - CMOS Non-tailed differential pair
Di Cataldo, Giuseppe; Grasso, Alfio Dario; Pennisi, Salvatore; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: INTERNATIONAL JOURNAL OF CIRCUIT THEORY AND APPLICATIONS (Chichester, John Wiley & Sons, Ltd.) pp. 1468-1477 - issn: 0098-9886 - wos: WOS:000379934700008 (7) - scopus: 2-s2.0-84949023887 (7)

11573/842971 - 2015 - Design and validation through a frequency-based metric of a new countermeasure to protect nanometer ICs from side-channel attacks
Bongiovanni, Simone; Centurelli, Francesco; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: JOURNAL OF CRYPTOGRAPHIC ENGINEERING (Heidelberg : Springer) pp. 269-288 - issn: 2190-8508 - wos: WOS:000218923100004 (11) - scopus: 2-s2.0-84944052924 (15)

11573/912151 - 2015 - High-tuning-range CMOS band-pass if filter based on a low-Q cascaded biquad optimization technique
Monsurro', Pietro; Pennisi, Salvatore; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: INTERNATIONAL JOURNAL OF CIRCUIT THEORY AND APPLICATIONS (Chichester, John Wiley & Sons, Ltd.) pp. 1615-1636 - issn: 0098-9886 - wos: WOS:000363681300006 (10) - scopus: 2-s2.0-84944754832 (10)

11573/1615002 - 2014 - Leakage Power Analysis attacks against a bit slice implementation of the Serpent block cipher
Alioto, M.; Bongiovanni, S.; Scotti, G.; Trifiletti, A. - 04b Atto di convegno in volume
conference: 21st International Conference on Mixed Design of Integrated Circuits and Systems, MIXDES 2014 (Lublin, pol)
book: Proceedings of the 21st International Conference on Mixed Design of Integrated Circuits and Systems, MIXDES 2014 - ()

11573/789999 - 2014 - Using feed array networks to control distortions in antenna reflector for astrophysical radio-astronomy
Centurelli, Francesco; Monsurro', Pietro; Romano, F.; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: Ground-Based and Airborne Telescopes V (Montreal QC, Canada)
book: Proceedings of SPIE - The International Society for Optical Engineering - (9780819496133; 9780819496133)

11573/789997 - 2014 - Design of broad-band power amplifiers by means of an impedance transforming lossy equalizer
Centurelli, Francesco; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro; Djukanovic, Milena - 04b Atto di convegno in volume
conference: 21st International Conference on Mixed Design of Integrated Circuits and Systems, MIXDES 2014 (Lublin, Poland)
book: Proceedings of the 21st International Conference on Mixed Design of Integrated Circuits and Systems, MIXDES 2014 - (9788363578046; 9788363578046)

11573/790000 - 2014 - Feed array metrology and correction layer for large antenna systems in ASIC mixed signal technology
Centurelli, Francesco; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro; Romano, F.; Cimmino, R.; Saitto, A. - 04b Atto di convegno in volume
conference: Modeling, Systems Engineering, and Project Management for Astronomy VI (Montreal, QC, can)
book: Proceedings of SPIE - The International Society for Optical Engineering - (9780819496188; 9780819496188)

11573/790001 - 2014 - Cosmic non-TEM radiation and synthetic feed array sensor system in ASIC mixed signal technology
Centurelli, Francesco; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro; Romano, F.; Cimmino, R.; Saitto, A. - 04b Atto di convegno in volume
conference: Modeling, Systems Engineering, and Project Management for Astronomy VI (Montreal, QC, can)
book: Proceedings of SPIE - The International Society for Optical Engineering - (9780819496188; 9780819496188)

11573/563942 - 2014 - Effectiveness of Leakage Power Analysis Attacks on DPA-Resistant Logic Styles Under Process Variations
Massimo, Alioto; Bongiovanni, Simone; Milena, Djukanovic; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS (IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 445 HOES LANE, PISCATAWAY, USA, NJ, 08855) pp. 429-442 - issn: 1549-8328 - wos: WOS:000331191800010 (40) - scopus: 2-s2.0-84893834211 (46)

11573/563943 - 2014 - 88-μ A 1-MHz stray-insensitive CMOS current-mode interface IC for differential capacitive sensors
Scotti, Giuseppe; S., Pennisi; P., Monsurro; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS (IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 445 HOES LANE, PISCATAWAY, USA, NJ, 08855) pp. 1905-1916 - issn: 1549-8328 - wos: WOS:000339045500001 (40) - scopus: 2-s2.0-84903698035 (41)

11573/1615008 - 2013 - Simulated power analysis attacks on a DDPL crypto-core without routing constraints
Bongiovanni, S.; Scotti, G.; Trifiletti, A. - 04b Atto di convegno in volume
conference: 9th Conference on Ph. D. Research in Microelectronics and Electronics, PRIME 2013 (Villach, aut)
book: Conference Proceedings - 9th Conference on Ph. D. Research in Microelectronics and Electronics, PRIME 2013 - ()

11573/625176 - 2013 - A flip-flop implementation for the DPA-resistant Delay-based Dual-rail Pre-charge Logic family
Bongiovanni, Simone; Olivieri, Mauro; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 20th International Conference on Mixed Design of Integrated Circuits and Systems, MIXDES 2013 (Gdynia)
book: Proceedings of the 20th International Conference on Mixed Design of Integrated Circuits and Systems - (9788363578008; 9788363578015)

11573/913008 - 2013 - Security evaluation and optimization of the delay-based dual-rail pre-charge logic in presence of early evaluation of data
Bongiovanni, Simone; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 10th International Conference on Security and Cryptography, SECRYPT 2013 - Part of 10th International Joint Conference on E-Business and Telecommunications, ICETE 2013 (Reykjavik, isl)
book: ICETE 2013 - 10th International Joint Conference on E-Business and Telecommunications; SECRYPT 2013 - 10th International Conference on Security and Cryptography, Proceedings - (9789898565730; 9789898565730)

11573/1615016 - 2013 - Effect of components relative tolerance in the magnitude response of a Gm-C biquad
Monsurro, P.; Scotti, G.; Trifiletti, A.; Pennisi, S. - 04b Atto di convegno in volume
conference: 2013 European Conference on Circuit Theory and Design, ECCTD 2013 (Dresden, deu)
book: 2013 European Conference on Circuit Theory and Design, ECCTD 2013 - Proceedings - ()

11573/457600 - 2013 - Adaptive frequency compensation for maximum and constant bandwidth feedback amplifiers
S., Pennisi; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: INTERNATIONAL JOURNAL OF CIRCUIT THEORY AND APPLICATIONS (Chichester, John Wiley & Sons, Ltd.) pp. 424-440 - issn: 0098-9886 - wos: WOS:000317981900007 (2) - scopus: 2-s2.0-84876501613 (2)

11573/563939 - 2013 - Process and terminations variations aware stability criteria for microwave amplifiers
Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 01a Articolo in rivista
paper: INTERNATIONAL JOURNAL OF RF AND MICROWAVE COMPUTER-AIDED ENGINEERING (John Wiley & Sons Incorporated:Customer Service, 111 River Street:Hoboken, NJ 07030:(800)225-5945, (201)748-6000, EMAIL: societyinfo@wiley.com, INTERNET: http://www.wiley.com, Fax: (212)748-6551) pp. 619-626 - issn: 1096-4290 - wos: WOS:000329302100001 (0) - scopus: 2-s2.0-84886582640 (0)

11573/491809 - 2012 - Constant and maximum bandwidth feedback amplifier with adaptive frequency compensation
Salvatore, Pennisi; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 2012 IEEE International Symposium on Circuits and Systems, ISCAS 2012 (Seoul)
book: IEEE International Symposium on Circuits & Systems (ISCAS 2012) - (9781467302173; 9781467302180; 9781467302197)

11573/491811 - 2012 - Autotuning technique for CMOS current mode capacitive sensor interfaces
Salvatore, Pennisi; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 2012 IEEE International Symposium on Circuits and Systems, ISCAS 2012 (Seoul)
book: IEEE International Symposium on Circuits & Systems (ISCAS 2012) - (9781467302173; 9781467302180; 9781467302197)

11573/460693 - 2011 - Current controlled current conveyor (CCCII) and application using 65nm CMOS technology
Abbas, Zia; Scotti, Giuseppe; Olivieri, Mauro - 01a Articolo in rivista
paper: WORLD ACADEMY OF SCIENCE, ENGINEERING AND TECHNOLOGY (world academy of science, engineering and technology) pp. 935-939 - issn: 2010-376X - wos: (0) - scopus: 2-s2.0-79960796020 (21)

11573/498519 - 2011 - A very low-voltage differential amplifier for opamp design
Centurelli, Francesco; Monsurro', Pietro; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 2011 20th European Conference on Circuit Theory and Design, ECCTD 2011 (Linkoping)
book: ECCTD 2011: European Conference on Circuit Theory and Design 2011 - (9781457706172; 9781457706189)

11573/1615022 - 2011 - Leakage power analysis attacks: Effectiveness on DPA resistant logic styles under process variations
Djukanovic, M.; Giancane, L.; Scotti, G.; Trifiletti, A.; Alioto, M. - 04b Atto di convegno in volume
conference: 2011 IEEE International Symposium of Circuits and Systems, ISCAS 2011 (Rio de Janeiro, bra)
book: Proceedings - IEEE International Symposium on Circuits and Systems - ()

11573/379123 - 2011 - Analysis of LPA Effectiveness on DPA Resistant Logic Styles under Process Variations
M., Djukanovic; Giancane, Luca; Scotti, Giuseppe; Trifiletti, Alessandro; M., Alioto - 04b Atto di convegno in volume
book: IEEE International Symposium on Circuits and Systems - ()

11573/378532 - 2011 - Delay-based dual-rail precharge logic
Marco, Bucci; Giancane, Luca; Raimondo, Luzzi; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 1147-1153 - issn: 1063-8210 - wos: WOS:000292098600003 (35) - scopus: 2-s2.0-85027957708 (41)

11573/491806 - 2011 - Design strategy for biquad-based continuous-time low-pass filters
Monsurro', Pietro; Salvatore, Pennisi; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 2011 20th European Conference on Circuit Theory and Design, ECCTD 2011 (Linkoping)
book: ECCTD 2011: European Conference on Circuit Theory and Design 2011 - (9781457706172; 9781457706189)

11573/457456 - 2011 - Exploiting the Body of MOS Devices for High Performance Analog Design
Pietro, Monsurro; Salvatore, Pennisi; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE CIRCUITS AND SYSTEMS MAGAZINE (IEEE Service Center:Institute of Electrical and Electronics Engineers Inc., 445 Hoes Lane:Piscataway, NJ 08855:(800)678-4333, (732)981-0060, EMAIL: customer-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 8-23 - issn: 1531-636X - wos: WOS:000297370300002 (33) - scopus: 2-s2.0-82155181612 (34)

11573/457594 - 2011 - Reply to "Comments on Avoiding the Gain-Bandwidth Trade Off in Feedback Amplifiers
S., Pennisi; Scotti, Giuseppe; Trifiletti, Alessandro - 01b Commento, Erratum, Replica e simili
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS (IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 445 HOES LANE, PISCATAWAY, USA, NJ, 08855) pp. 2117-2117 - issn: 1549-8328 - wos: WOS:000294893800014 (1) - scopus: 2-s2.0-80052902936 (3)

11573/457588 - 2011 - Avoiding the Gain-Bandwidth Trade Off in Feedback Amplifiers
Salvatore, Pennisi; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS (IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 445 HOES LANE, PISCATAWAY, USA, NJ, 08855) pp. 2108-2113 - issn: 1549-8328 - wos: WOS:000294893800012 (10) - scopus: 2-s2.0-80052914673 (19)

11573/511915 - 2011 - A Mach-Zehnder modulator model for the design of optical-fiber analog transmitters
Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro; Antonello, Vannucci - 04b Atto di convegno in volume
conference: 2011 Workshop on Integrated Nonlinear Microwave and Millimetre-Wave Circuits, INMMiC 2011 (Vienna)
book: Proc. 2011 Workshop on Integrated Nonlinear Microwave and Millimetre-Wave Circuits, INMMiC 2011 - (9781457706493; 9781457706509)

11573/55156 - 2010 - Analysis and modelling of broad-band ferrite-based coaxial transmission-line transformers
A., Fanti; L., Piattella; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 13th European Microwave Week 2010, EuMW2010: Connecting the World - 40th European Microwave Conference, EuMC 2010 (Paris; France)
book: EUMW 2010, European Microwave Week - (9782874870163)

11573/140530 - 2010 - Leakage Power Analysis Attacks: A Novel Class of Attacks to Nanometer Cryptographic Circuits
M., Alioto; Giancane, Luca; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS (IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 445 HOES LANE, PISCATAWAY, USA, NJ, 08855) pp. 355-367 - issn: 1549-8328 - wos: WOS:000274395300005 (81) - scopus: 2-s2.0-76849096182 (98)

11573/379121 - 2010 - On Practical Second-Order Power Analysis Attacks for Block Ciphers
R., Menicocci; Simonetti, Andrea; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: International Conference on Information and Communications Security, ICICS 2010 (Barcelona, Spain)
book: Information and Communication Secutity - ()

11573/230234 - 2009 - Analysis and implementation of a minimum-supply body-biased CMOS differential amplifier cell
A. D., Grasso; Monsurro', Pietro; S., Pennisi; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 172-180 - issn: 1063-8210 - wos: WOS:000262778500002 (17) - scopus: 2-s2.0-58849163580 (27)

11573/140528 - 2009 - The VCG-CCII: a novel building block and its application to capacitance multiplication
A., De Marcellis; G., Ferri; N. C., Guerrini; Scotti, Giuseppe; V., Stornelli; Trifiletti, Alessandro - 01a Articolo in rivista
paper: ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING (London ; Dordrecht ; Boston : Kluwer Academic Publishers) pp. 55-59 - issn: 0925-1030 - wos: WOS:000261965500009 (52) - scopus: 2-s2.0-58049142476 (58)

11573/1615004 - 2009 - Leakage power analysis attacks: Theoretical analysis and impact of variations
Alioto, M.; Giancane, L.; Scotti, G.; Trifiletti, A. - 04b Atto di convegno in volume
conference: 2009 16th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2009 (Yasmine Hammamet, tun)
book: 2009 16th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2009 - ()

11573/1615010 - 2009 - Leakage power analysis attacks: Well-defined procedure and first experimental results
Alioto, M.; Giancane, L.; Scotti, G.; Trifiletti, A. - 04b Atto di convegno in volume
conference: 21th International Conference on Microelectronics, ICM 2009 (Marrakech, mar)
book: Proceedings of the International Conference on Microelectronics, ICM - ()

11573/139646 - 2009 - A novel low-voltage low-power fully differential voltage and current gained CCII for floating impedance simulations
Andrea De, Marcellis; Giuseppe, Ferri; Nicola Carlo, Guerrini; Scotti, Giuseppe; Vincenzo, Stornelli; Trifiletti, Alessandro - 01a Articolo in rivista
paper: MICROELECTRONICS JOURNAL (Elsevier Advanced Technology:P O Box 150, Kidlington OX5 1AS United Kingdom:011 44 1865 843687, 011 44 1865 843699, EMAIL: eatsales@elsevier.co.uk, INTERNET: http://www.elsevier.com, Fax: 011 44 1865 843971) pp. 20-25 - issn: 0959-8324 - wos: WOS:000263213200005 (39) - scopus: 2-s2.0-57849123596 (41)

11573/133009 - 2009 - Extraction of CAD-compatible statistical non-linear models of GaAs HEMT MMIC’s
Centurelli, Francesco; A., Di Martino; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 01a Articolo in rivista
paper: MICROWAVE AND OPTICAL TECHNOLOGY LETTERS (John Wiley & Sons Incorporated:Customer Service, 111 River Street:Hoboken, NJ 07030:(800)225-5945, (201)748-6000, EMAIL: societyinfo@wiley.com, INTERNET: http://www.wiley.com, Fax: (212)748-6551) pp. 2163-2166 - issn: 0895-2477 - wos: WOS:000268003500047 (0) - scopus: 2-s2.0-67749124171 (0)

11573/229405 - 2009 - Design Solutions for Sample-and-Hold Circuits in CMOS Nanometer Technologies
Centurelli, Francesco; Monsurro', Pietro; S., Pennisi; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. II, EXPRESS BRIEFS (Piscataway, NJ : Institute of Electrical and Electronics Engineers, c2004-) pp. 459-463 - issn: 1549-7747 - wos: WOS:000267438500007 (27) - scopus: 2-s2.0-67650101629 (32)

11573/378934 - 2009 - Power analysis of chaos-based random number generator for cryptographic security
F., Pareschi; Scotti, Giuseppe; Giancane, Luca; R., Rovatti; G., Setti; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 2009 IEEE International Symposium on Circuits and Systems, ISCAS 2009 (Taipei, twn)
book: IEEE International Symposium on Circuits and Systems - ()

11573/378937 - 2009 - Leakage power analysis: theoretical analysis and impact of variations
M., Alioto; Giancane, Luca; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 2009 16th IEEE International Conference on Electronics, Circuits and Systems (Yasmine Hammamet, Tunisia)
book: IEEE International Conference on Electronics - ()

11573/379127 - 2009 - Leakage power analysis: well-defined procedure and first experimental results
M., Alioto; Giancane, Luca; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
book: International Conference on Microelectronics - ()

11573/378935 - 2009 - Delay-based dual-rail pre-charge logic
M., Bucci; Giancane, Luca; R., Luzzi; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 2009 16th IEEE International Conference on Electronics, Circuits and Systems (Yasmine Hammamet; Tunisia)
book: IEEE International Conference on Electronics, Circuits and Systems - (978-1-4244-5091-6)

11573/379130 - 2009 - Impact of process variations on LPA attacks effectiveness
M., Djukanovic; Giancane, Luca; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
book: International Conference on Computer and Electrical Engineering - ()

11573/360615 - 2009 - 0.9-V CMOS cascode amplifier with body-driven gain boosting
Monsurro', Pietro; S., Pennisi; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: INTERNATIONAL JOURNAL OF CIRCUIT THEORY AND APPLICATIONS (Chichester, John Wiley & Sons, Ltd.) pp. 193-202 - issn: 0098-9886 - wos: WOS:000264012600004 (28) - scopus: 2-s2.0-61349198811 (33)

11573/378933 - 2009 - CMOS body-enhanced cascode current mirror
S., Pennisi; Scotti, Giuseppe; Trifiletti, Alessandro; Gianni', Carmine - 04b Atto di convegno in volume
conference: 2009 IEEE International Symposium on Circuits and Systems, ISCAS 2009 (Taipei, twn)
book: IEEE International Symposium on Circuits and Systems - (9781424438280; 9781424438280)

11573/51255 - 2008 - Dual op amp, LDO regulator with power supply gain suppression for CMOS smart sensors and microsystems
C., Falconi; A., D'amico; Scotti, Giuseppe; Trifiletti, Alessandro - 04a Atto di comunicazione a congresso
book: ISCAS 08: IEEE International Symposium on Circuits and Systems - ()

11573/378752 - 2008 - Low voltage, low power, compact, high accuracy, high precision PTAT temperature sensor for deep sub-micron CMOS systems
C., Falconi; M., Fratini; A., Damico; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 2008 IEEE International Symposium on Circuits and Systems (Seattle, Washington, USA)
book: 2008 IEEE International Symposium on Circuits and Systems - ()

11573/362846 - 2008 - A gain-enhancing technique for very low-voltage amplifiers
Centurelli, Francesco; Monsurro', Pietro; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 2008 IEEE International Symposium on Circuits and Systems, ISCAS 2008 (Seattle, WA)
book: ISCAS 08: IEEE International Symposium on Circuits and Systems - (9781424416837; 9781424416844)

11573/360131 - 2008 - A new dynamic differential logic style as a countermeasure to power analysis attacks
Giancane, Luca; Marietti, Piero; Olivieri, Mauro; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 15th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2008 (St. Julian's)
book: IEEE International Conference On Electronics, Circuits, And Systems (ICECS) - (9781424421817; 9781424421824)

11573/378927 - 2008 - ‘Mixed-signal flexible architecture for the synthesis of n-port networks
Giannì, C.; S., Pennisi; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 2008 IEEE International Symposium on Circuits and Systems (Seattle, WA, USA)
book: IEEE International Symposium on Circuits and Systems - ()

11573/360033 - 2008 - Enhancing power analysis attacks against cryptographic devices
M., Bucci; Giancane, Luca; R., Luzzi; M., Marino; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IET CIRCUITS, DEVICES & SYSTEMS (Stevenage : Institution of Engineering and Technology,) pp. 298-305 - issn: 1751-858X - wos: WOS:000257670900002 (7) - scopus: 2-s2.0-46249098922 (9)

11573/378932 - 2008 - Differential capacitance analysis
M., Bucci; R., Luzzi; Scotti, Giuseppe; Simonetti, Andrea; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: PATMOS 2008: Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation (Lisbon, Portugal)
book: International Workshop on Power and Timing Modeling, Optimization and Simulation - ()

11573/360606 - 2008 - Unity-gain amplifier with theoretically zero gain error
Monsurro', Pietro; S., Pennisi; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 1431-1437 - issn: 0018-9456 - wos: WOS:000256584800020 (1) - scopus: 2-s2.0-65349187250 (6)

11573/228588 - 2008 - A CAD-oriented technique to design push-pull broadband RF power amplifiers
R., Larotonda; N., Roberto; Scotti, Giuseppe; L., Piattella; Tommasino, Pasquale; Trifiletti, Alessandro - 01a Articolo in rivista
paper: INTERNATIONAL JOURNAL OF MICROWAVE AND OPTICAL TECHNOLOGY (Reno, Nev. : International Journal of Microwave and Optical Technology, 2006-) pp. 261-267 - issn: 1553-0396 - wos: (0) - scopus: 2-s2.0-78650038732 (4)

11573/219313 - 2007 - Low Voltage CMOS Current and Voltage References Without Resistors
C., Falconi; A., Damico; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 2007 IEEE International Symposium on Circuits and Systems (New Orleans, LA, USA)
book: IEEE International Symposium on Circuits and Systems - ()

11573/235884 - 2007 - Mismatch-free, continuous time, gain enhanced amplifiers
C., Falconi; M., Cianella; A., D'amico; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 2007 IEEE International Symposium on Circuits and Systems (New Orleans, LA, USA)
book: ISCAS 2007: IEEE International Symposium on Circuits and Systems - ()

11573/1652217 - 2007 - A high-speed low-voltage phase detector for clock recovery from NRZ data
Centurelli, F.; Scotti, G.; Trifiletti, A. - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS (IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 445 HOES LANE, PISCATAWAY, USA, NJ, 08855) pp. 1626-1635 - issn: 1549-8328 - wos: WOS:000248520900002 (5) - scopus: 2-s2.0-34547981860 (5)

11573/234505 - 2007 - CMOS Miller OTA with body-biased output stage
Centurelli, Francesco; A. D., Grasso; S., Pennisi; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: ECCTD European Conference on Circuit Theory and Design (Sevilla (Spain))
book: ECCTD 07: 18th European Conference on Circuit Theory and Design - (9781424413416)

11573/232530 - 2007 - CMOS high-CMRR current output stages
Centurelli, Francesco; Alfio Dario, Grasso; Salvatore, Pennisi; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. II, EXPRESS BRIEFS (Piscataway, NJ : Institute of Electrical and Electronics Engineers, c2004-) pp. 745-749 - issn: 1549-7747 - wos: WOS:000249540300001 (10) - scopus: 2-s2.0-34648837242 (13)

11573/367810 - 2007 - A statistical model of logic gates for Monte Carlo simulation including on-chip variation
Centurelli, Francesco; Giancane, Luca; Olivieri, Mauro; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: PATMOS 07: 17th International Workshop on Power and Timing Modeling, Optimization and Simulation (Goteborg)
book: PATMOS 07: 17th International Workshop on Power and Timing Modeling, Optimization and Simulation - (9783540744412)

11573/219320 - 2007 - CCII-Based High-Valued Inductance Simulators with minumum Number of Active Elements
G., Ferri; N., Guerrini; R., Romanato; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 2007 18th European Conference on Circuit Theory and Design (Seville, Spain)
book: ECCTD 07: European Conference on Circuit Theory and Design - ()

11573/240276 - 2007 - The Universal Circuit Simulator: A Mixed Signal Approach to n-port Network and Impedance Synthesis
Gianni', C.; Pennisi, S.; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS (IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 445 HOES LANE, PISCATAWAY, USA, NJ, 08855) pp. 2178-2183 - issn: 1549-8328 - wos: WOS:000250315100008 (8) - scopus: 2-s2.0-36348997714 (9)

11573/366964 - 2007 - Analysis of Data Dependence of Leakage Current in CMOS Cryptographic Hardware
J., Giorgetti; Scotti, Giuseppe; Simonetti, Andrea; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: ACM Great Lakes symposium on VLSIMarch 2007 (Stresa - Lago Maggiore, Italy)
book: GLSVLSI '07: Proceedings of the 17th ACM Great Lakes symposium on VLSI March 2007 Pages 78–83 https://doi.org/10.1145/1228784.1228808 - ()

11573/219316 - 2007 - Mismatch tolerant, continuous time, rail to rail, gain enhanced cmos amplifiers
M., Cianella; A., Damico; C., Falconi; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 2007 18th European Conference on Circuit Theory and Design (Seville; Spain)
book: ECCTD 07: European Conference on Circuit Theory and Design - ()

11573/365250 - 2007 - Linearization technique for source-degenerated CMOS differential transconductor
Monsurro', Pietro; S., Pennisi; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. II, EXPRESS BRIEFS (Piscataway, NJ : Institute of Electrical and Electronics Engineers, c2004-) pp. 848-852 - issn: 1549-7747 - wos: WOS:000250374400004 (28) - scopus: 2-s2.0-36249012523 (37)

11573/366977 - 2007 - Source-degenerated CMOS transconductor with auxiliary linearization
Monsurro', Pietro; S., Pennisi; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 2007 IEEE International Symposium on Circuits and Systems (New Orleans; USA)
book: IEEE International Symposium on Circuits and Systems - (978-1-4244-0920-4)

11573/368519 - 2007 - Sub-1 V CMOS OTA with body-driven gain boosting
Monsurro', Pietro; S., Pennisi; Scotti, Giuseppe; Trifiletti, Alessandro - 04a Atto di comunicazione a congresso
book: ECCTD 07: EUROPEAN CONFERENCE ON CIRCUIT THEORY AND DESIGN - ()

11573/358435 - 2007 - Very low voltage CMOS two-stage amplifier
Monsurro', Pietro; Scotti, Giuseppe; Trifiletti, Alessandro; S., Pennisi - 04b Atto di convegno in volume
conference: European Conference on Circuit Theory and Design 2007, ECCTD 2007 (Siviglia, Spagna)
book: ECCTD 07: 18th European Conference on Circuit Theory and Design - (1424413427; 1424413427)

11573/55155 - 2007 - A CAD-oriented technique to design push-pull broadband RF power amplifiers
R., Larotonda; N., Roberto; Scotti, Giuseppe; M., Tiribocchi; Tommasino, Pasquale; Trifiletti, Alessandro - 04a Atto di comunicazione a congresso
book: ISMOT 2007, 11th International Symposium on Microwave and Optical Technology - ()

11573/216663 - 2007 - 150uA CMOS Transconductor with 82 dB SFDR
S., Pennisi; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: IEEE International Symposium on Circuits and Systems (New Orleans USA)
book: IEEE International Symposium on Circuits and Systems - ()

11573/893891 - 2006 - Side channel analysis resistant design flow
Aigner, M; Mangard, S.; Menichelli, Francesco; Menicocci, Renato; Olivieri, Mauro; Popp, T.; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: ISCAS 2006: 2006 IEEE International Symposium on Circuits and Systems (Kos, Greece)
book: Proceedings - IEEE International Symposium on Circuits and Systems - (0780393902; 0780393902)

11573/236107 - 2006 - Validation of a statistical non-linear model of GaAs HEMT MMIC's by hypothesis testing and principal component analysis
Balsi, Marco; Centurelli, Francesco; Marietti, Piero; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro; G., Valente - 04b Atto di convegno in volume
conference: ISCAS IEEE International Symposium on Circuits and Systems (Kos (Greece))
book: ISCAS 06: IEEE International Symposium on Circuits and Systems - (9780780393899)

11573/236615 - 2006 - Discussion and new proofs of the conditional stability criteria for multidevice microwave amplifiers
Balsi, Marco; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEE PROCEEDINGS. MICROWAVES, ANTENNAS AND PROPAGATION (Institution of Electrical Engineers:Michael Faraday House, 6 Hills Way, Stevenage Hertfordshire SG1 1AY United Kingdom:011 44 1438 313311, EMAIL: postmaster@iee.org, INTERNET: http://www.iee.org, Fax: 011 44 1438 313465) pp. 177-181 - issn: 1350-2417 - wos: WOS:000237313300010 (5) - scopus: 2-s2.0-33745959047 (6)

11573/912988 - 2006 - Enhancing Power Analysis Attacks against Cryptographic Devices
Bucci, M.; Giancane, L.; Luzzi, R.; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
paper: IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS PROCEEDINGS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 2905-2908 - issn: 0271-4302 - wos: WOS:000245413503072 (2) - scopus: 2-s2.0-34547276682 (4)
conference: ISCAS 2006: 2006 IEEE International Symposium on Circuits and Systems (Kos; Greece)
book: Proceedings - IEEE International Symposium on Circuits and Systems - (0-7803-9389-9; 0-7803-9389-9)

11573/172997 - 2006 - Un convertitore singolo-differenziale a larga banda ed elevato CMRR
Centurelli, Francesco; Marietti, Piero; Scotti, Giuseppe; Tommasino, P.; Trifiletti, A. - 04a Atto di comunicazione a congresso
book: GE06: Riunione Annuale Gruppo Elettronica - ()

11573/172998 - 2006 - Criteri di stabilità per reti due-porte attive in presenza di variazioni parametriche
Marietti, Piero; Scotti, Giuseppe; A., Trifiletti - 04a Atto di comunicazione a congresso
book: GE06: Riunione Annuale Gruppo Elettronica - ()

11573/237647 - 2006 - Stability criterion for two-ports with input and output terminations varying in elliptic regions
Marietti, Piero; Scotti, Giuseppe; Trifiletti, Alessandro; G., Viviani - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 4049-4055 - issn: 0018-9480 - wos: WOS:000242948900004 (7) - scopus: 2-s2.0-33847711879 (7)

11573/357615 - 2006 - Inverting closed-loop amplifier architecture with reduced gain error and high input impedance
Monsurro', Pietro; S., Pennisi; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 2006 IEEE International Symposium on Circuits and Systems (Kos; Greece)
book: ISCAS 06: IEEE International Symposium on Circuits and Systems - (978-0-7803-9389-9)

11573/125680 - 2006 - High-CMRR current amplifier architecture and its CMOS implementation
S., Pennisi; M., Piccioni; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS (IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 445 HOES LANE, PISCATAWAY, USA, NJ, 08855) pp. 1118-1122 - issn: 1549-8328 - wos: WOS:000241438800026 (14) - scopus: 2-s2.0-33750602298 (17)

11573/912862 - 2005 - 10-th Order programmable low-pass CMOS integrated pulse-shaping filter
Balsi, Marco; Guerrini, N.; Marietti, P.; Scotti, Giuseppe; Stochino, G.; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: IEEE International Symposium on Circuits and Systems 2005, ISCAS 2005 (Kobe, Japan)
book: Proc. of IEEE International Symposium on Circuits and Systems (ISCAS'05) - (0-7803-8834-8; 0-7803-8834-8)

11573/236927 - 2005 - A 10 Gb/s CMU/CDR chip-set in SiGe BiCMOS commercial technology with multistandard capability
Centurelli, Francesco; A., Golfarelli; J., Guinea; L., Masini; D., Morigi; M., Pozzoni; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 191-200 - issn: 1063-8210 - wos: WOS:000226812400003 (3) - scopus: 2-s2.0-13844272743 (3)

11573/368316 - 2005 - High-speed CMOS-to-ECL pad driver in 0.18 um CMOS
Centurelli, Francesco; G., Lulli; Marietti, Piero; Monsurro', Pietro; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: ISCAS IEEE International Symposium on Circuits and Systems (Kobe (Japan))
book: ISCAS 05: IEEE International Symposium on Circuits and Systems Proceedings - (9780780388345)

11573/912744 - 2005 - An active balun for high-CMRR IC design
Centurelli, Francesco; Luzzi, Raimondo; Marietti, Piero; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 2005 European Microwave Conference (Paris; Francia)
book: 35th European Microwave Conference 2005 - Conference Proceedings - (2960055128; 2960055128)

11573/234302 - 2005 - A novel dual-output CCII-based single-ended to differential converter
Centurelli, Francesco; Marco, Diqual; Giuseppe, Ferri; Nicola C., Guerrini; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING (London ; Dordrecht ; Boston : Kluwer Academic Publishers) pp. 87-90 - issn: 0925-1030 - wos: WOS:000228268800009 (4) - scopus: 2-s2.0-17444395579 (4)

11573/232435 - 2005 - An active balun for high-CMRR IC design
Centurelli, Francesco; R., Luzzi; Marietti, Piero; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: GAAS European Gallium Arsenide and other compound semiconductors Application Symposium (Paris (France))
book: GAAS 05: 13th European Gallium Arsenide and other Compound Semiconductors Application Symposium - (9788890201202)

11573/471769 - 2005 - A novel CMOS logic style with data independent power consumption
M., Aigner; S., Mangard; R., Menicocci; Olivieri, Mauro; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: IEEE International Symposium on Circuits and Systems (ISCAS) (Kobe, JAPAN)
book: Proceedings of the International Symposium on Circuits and Systems (ISCAS 05) - (9780780388345)

11573/172994 - 2005 - 'Ottimizzazione della resa di amplificatori di potenza basata sul controllo attivo della polarizzazione'
Marietti, Piero; Scotti, Giuseppe; Tommasino, P.; Trifiletti, A. - 04a Atto di comunicazione a congresso
book: ElEm 05: XI Three Day Event on Electronic and Electromagnetic Technologies for Space Applications - ()

11573/366736 - 2005 - Switched-capacitor body-biasing technique for very low voltage CMOS amplifiers
Monsurro', Pietro; S., Pennisi; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
book: ECCTD 05: European Conference on Circuit Theory and Design - ()

11573/358220 - 2005 - Biasing technique via bulk terminal for minimum supply CMOS amplifier
Monsurro', Pietro; Scotti, Giuseppe; Trifiletti, Alessandro; S., Pennisi - 01a Articolo in rivista
paper: ELECTRONICS LETTERS (Institution of Electrical Engineers:Michael Faraday House, 6 Hills Way, Stevenage Hertfordshire SG1 1AY United Kingdom:011 44 1438 313311, EMAIL: postmaster@iee.org, INTERNET: http://www.iee.org, Fax: 011 44 1438 313465) pp. 779-780 - issn: 0013-5194 - wos: WOS:000230560800001 (19) - scopus: 2-s2.0-22944462375 (30)

11573/234991 - 2005 - Necessary and sufficient conditions for the stability of microwave amplifiers with variable termination impedances
Olivieri, Mauro; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 2580-2586 - issn: 0018-9480 - wos: WOS:000231269400015 (8) - scopus: 2-s2.0-24344476310 (8)

11573/241279 - 2005 - A novel yield optimisation technique for digital CMOS circuits design by means of process parameters run-time estimation and body bias active control
Olivieri, Mauro; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 630-638 - issn: 1063-8210 - wos: WOS:000228706100010 (27) - scopus: 2-s2.0-18744386701 (32)

11573/216667 - 2005 - 2 V CMOS current operational amplifier with high CMRR
R., Luzzi; S., Pennisi; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 2005 European Conference on Circuit Theory and Design, 2005. (Cork, Ireland)
book: ECCTD 05: European Conference on Circuit Theory and Design - ()

11573/216669 - 2005 - CMOS Single to differential Current Amplifier
S., Pennisi; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 2005 IEEE International Symposium on Circuits and Systems (Kobe, Japan)
book: ISCAS 05: IEEE International Symposium on Circuits and Systems - ()

11573/235066 - 2005 - MMIC yield optimisation by design centering and off-chip controllers
Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEE PROCEEDINGS. CIRCUITS, DEVICES AND SYSTEMS (Institution of Electrical Engineers:Michael Faraday House, 6 Hills Way, Stevenage Hertfordshire SG1 1AY United Kingdom:011 44 1438 313311, EMAIL: postmaster@iee.org, INTERNET: http://www.iee.org, Fax: 011 44 1438 313465) pp. 54-60 - issn: 1350-2409 - wos: WOS:000228750100008 (23) - scopus: 2-s2.0-18844412204 (25)

11573/172993 - 2004 - Criteri di stabilità condizionata orientati alla sintesi automatica di circuiti a microonde in presenza di variazioni delle condizioni di terminazione
Balsi, Marco; Marietti, Piero; Scotti, Giuseppe; A., Trifiletti - 04a Atto di comunicazione a congresso
book: GE04: Riunione Annuale Gruppo Elettronica - ()

11573/195980 - 2004 - Progetto di un filtro antialiasing del decimo ordine in tecnologia CMOS 0.35 mm
Balsi, Marco; N., Guerrini; Marietti, Piero; Scotti, Giuseppe; A., Trifiletti; Universit De, Laquila; Laquila, Italy - 04a Atto di comunicazione a congresso
book: GE04: Riunione Annuale Gruppo Elettronica - ()

11573/195982 - 2004 - Extraction of a CAD-compatible non-linear statistical model for GaAs HEMT devices by means of a reliable automatic procedure.
Centurelli, F.; Di Martino, A.; Marietti, P.; Scotti, G.; Tommasino, P.; Trifiletti, A. - 04a Atto di comunicazione a congresso
conference: INMMIC 04 Int. Microwave and Millimeter-Wave Integrated Circuits Workshop (Monte Porzio Catone, Italy)
book: INMMIC 04 Int. Microwave and Millimeter-Wave Integrated Circuits Workshop - ()

11573/56140 - 2004 - Extraction of a CAD-compatible non-linear statistical model for GaAs HEMT devices by means of a reliable automatic procedure
Centurelli, Francesco; A., Di Martino; Marietti, Piero; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 04a Atto di comunicazione a congresso
conference: INMMiC 04: Integrated Non-linear Microwave and Millimeter-Wave Circuits Workshop (Monte Porzio Catone (Italy))
book: Proc. INMMiC 04 - ()

11573/234995 - 2004 - A behavioral model of a noisy VCO for efficient time-domain simulation
Centurelli, Francesco; A., Ercolani; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 01a Articolo in rivista
paper: MICROWAVE AND OPTICAL TECHNOLOGY LETTERS (John Wiley & Sons Incorporated:Customer Service, 111 River Street:Hoboken, NJ 07030:(800)225-5945, (201)748-6000, EMAIL: societyinfo@wiley.com, INTERNET: http://www.wiley.com, Fax: (212)748-6551) pp. 352-355 - issn: 0895-2477 - wos: WOS:000189016700002 (2) - scopus: 2-s2.0-1542365365 (3)

11573/56139 - 2004 - A high-speed low-voltage phase detector for clock recovery from NRZ data
Centurelli, Francesco; M., Pozzoni; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS (IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 445 HOES LANE, PISCATAWAY, USA, NJ, 08855) pp. 297-300 - issn: 1549-8328 - wos: WOS:000223102600075 (0) - scopus: 2-s2.0-4344615417 (1)
conference: ISCAS 04: IEEE International Symposium on Circuits and Systems (Vancouver BC)
book: Proc. ISCAS 04 - (0 7803 8251 X)

11573/195981 - 2004 - Un rivelatore di fase ad alta velocità e bassa tensione di alimentazione per applicazioni in sistemi di estrazione del sincronismo
Centurelli, Francesco; Marietti, Piero; M., Pozzoni; Scotti, Giuseppe; A., Trifiletti; St, Microelectronics; Cornaredo, Italy - 04a Atto di comunicazione a congresso
book: GE04: Riunione Annuale Gruppo Elettronica - ()

11573/462557 - 2004 - Yield optimization by means of process parameters estimation: comparison between ABB and ASV techniques
Olivieri, Mauro; M., Scarana; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume

11573/57224 - 2003 - Non-linear statistical modelling of GaAs FET integrated circuits using principal component analysis
Balsi, Marco; Centurelli, Francesco; A., Forte; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: GAAS 03: 11th European Gallium Arsenide and other semiconductors Application Symposium (München (Germany))
book: Proc. GAAS 03 - (9781580538374)

11573/251305 - 2003 - An accurate behavioral model of phase detectors for clock recovery circuits
Balsi, Marco; Centurelli, Francesco; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: ISCAS IEEE International Symposium on Circuits and Systems (Bangkok (Thailand))
book: Proc. of ISCAS'03 International Symposium on Circuits and Systems - (9780780377615)

11573/249189 - 2003 - A new procedure for non-linear statistical model extraction of GaAs FET integrated circuits
Centurelli, Francesco; A., Di Martino; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 01a Articolo in rivista
paper: INTERNATIONAL JOURNAL OF RF AND MICROWAVE COMPUTER-AIDED ENGINEERING (John Wiley & Sons Incorporated:Customer Service, 111 River Street:Hoboken, NJ 07030:(800)225-5945, (201)748-6000, EMAIL: societyinfo@wiley.com, INTERNET: http://www.wiley.com, Fax: (212)748-6551) pp. 348-356 - issn: 1096-4290 - wos: WOS:000184888200002 (3) - scopus: 2-s2.0-0042739411 (3)

11573/56137 - 2003 - A 10 Gb/s CDR in SiGe BiCMOS commercial technology with multistandard capability
Centurelli, Francesco; A., Golfarelli; J., Guinea; L., Masini; D., Morigi; M., Pozzoni; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: RFIC 03: IEEE Radio Frequency Integrated Circuits Symposium (Philadelphia, PA (USA))
book: Proc. RFIC 03 - (9780780376946)

11573/57225 - 2003 - A 10 Gb/s CMU in SiGe BiCMOS commercial technology with multistandard capability
Centurelli, Francesco; A., Golfarelli; J., Guinea; L., Masini; D., Morigi; M., Pozzoni; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: GAAS 03: 11th European Gallium Arsenide and other semiconductors Application Symposium (München (Germany))
book: Proc. GAAS 03 - (9781580538374)

11573/249664 - 2002 - A non-linear statistical model for GaAs FET integrated circuits
Centurelli, Francesco; A., Di Martino; Marietti, Piero; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: GAAS European Gallium Arsenide and other semiconductors Application Symposium (Milano (Italy))
book: Proc. GAAS 02 - (9780862132132)

11573/394962 - 2002 - An efficient synthesis-oriented CAD implementation of Nyquist stability criterion
Centurelli, Francesco; R., Luzzi; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 01a Articolo in rivista
paper: ELECTRON TECHNOLOGY () pp. - - issn: 1897-2381 - wos: (0) - scopus: 2-s2.0-22844431995 (1)

11573/56135 - 2002 - Design centering and yield optimisation of MMIC's with off-chip digital controllers
Centurelli, Francesco; R., Luzzi; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: GAAS 02: 10th European Gallium Arsenide and other semiconductors Application Symposium (Milano (Italy))
book: Proc. GAAS 02 - (9780862132132)

11573/248924 - 2002 - Design of stable microwave multi-device circuits with complex termination impedances
Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 01a Articolo in rivista
paper: INTERNATIONAL JOURNAL OF RF AND MICROWAVE COMPUTER-AIDED ENGINEERING (John Wiley & Sons Incorporated:Customer Service, 111 River Street:Hoboken, NJ 07030:(800)225-5945, (201)748-6000, EMAIL: societyinfo@wiley.com, INTERNET: http://www.wiley.com, Fax: (212)748-6551) pp. 360-366 - issn: 1096-4290 - wos: WOS:000176531400006 (1) - scopus: 2-s2.0-0036640639 (1)

11573/252578 - 2001 - A new procedure for distance-dependent statistical non-linear modelling of GaAs FET's
Centurelli, Francesco; A., Di Martino; Marietti, Piero; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: GAAS European Gallium Arsenide and other semiconductors Application Symposium (London (UK))
book: GAAS 01 European GaAs and other semiconductors Application Symposium - (9780862131586)

11573/249558 - 2001 - Stability-oriented design of millimeter-wave multistage amplifiers
Centurelli, Francesco; Marietti, Piero; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: MixDes International Conference on Mixed Design of Integrated Circuits and Systems (Zakopane (Poland))
book: MIXDES 01 Int. Conf. on Mixed Design of Integrated Circuits and Systems - (9788387202989)

11573/253646 - 2001 - A bandwidth-compensated transimpedance amplifier for multi-gigabit optical receivers
Centurelli, Francesco; R., Luzzi; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: MICROWAVE AND OPTICAL TECHNOLOGY LETTERS (John Wiley & Sons Incorporated:Customer Service, 111 River Street:Hoboken, NJ 07030:(800)225-5945, (201)748-6000, EMAIL: societyinfo@wiley.com, INTERNET: http://www.wiley.com, Fax: (212)748-6551) pp. 79-81 - issn: 0895-2477 - wos: WOS:000169401800003 (2) - scopus: 2-s2.0-0035919933 (2)

11573/252196 - 2001 - Bias Correction and Yield Optimisation of MMIC’s with External Digital Control
Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 01a Articolo in rivista
paper: MICROWAVE AND OPTICAL TECHNOLOGY LETTERS (John Wiley & Sons Incorporated:Customer Service, 111 River Street:Hoboken, NJ 07030:(800)225-5945, (201)748-6000, EMAIL: societyinfo@wiley.com, INTERNET: http://www.wiley.com, Fax: (212)748-6551) pp. 134-137 - issn: 0895-2477 - wos: WOS:000171034600018 (1) - scopus: 2-s2.0-0035922887 (1)

11573/253645 - 2000 - A synthesis-oriented conditional stability criterion for microwave multidevice circuits with complex termination impedances
Centurelli, Francesco; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE MICROWAVE AND GUIDED WAVE LETTERS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 460-462 - issn: 1051-8207 - wos: WOS:000165756200006 (5) - scopus: 2-s2.0-7744243426 (5)

11573/255728 - 2000 - A synthesis-oriented approach to design microwave multidevice amplifiers with a prefixed stability margin
Centurelli, Francesco; Scotti, Giuseppe; Tommasino, Pasquale; Trifiletti, Alessandro - 01a Articolo in rivista
paper: IEEE MICROWAVE AND GUIDED WAVE LETTERS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 102-104 - issn: 1051-8207 - wos: WOS:000087550200006 (8) - scopus: 2-s2.0-0033688572 (9)

11573/256382 - 2000 - Yield Optimization Design Procedure of MMIC Transimpedance Amplifier for Multi-gigabit Optical receivers
R., Luzzi; Marietti, Piero; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: MICROWAVE AND OPTICAL TECHNOLOGY LETTERS (John Wiley & Sons Incorporated:Customer Service, 111 River Street:Hoboken, NJ 07030:(800)225-5945, (201)748-6000, EMAIL: societyinfo@wiley.com, INTERNET: http://www.wiley.com, Fax: (212)748-6551) pp. 110-114 - issn: 0895-2477 - wos: (0) - scopus: 2-s2.0-0033700041 (0)

11573/253677 - 1999 - A Synthesis-Oriented Approach to Design Stable Circuits
Scotti, Giuseppe; Tommasino, P.; Trifiletti, Alessandro - 01a Articolo in rivista
paper: MICROWAVE AND OPTICAL TECHNOLOGY LETTERS (John Wiley & Sons Incorporated:Customer Service, 111 River Street:Hoboken, NJ 07030:(800)225-5945, (201)748-6000, EMAIL: societyinfo@wiley.com, INTERNET: http://www.wiley.com, Fax: (212)748-6551) pp. 354-357 - issn: 0895-2477 - wos: WOS:000083891600010 (5) - scopus: 2-s2.0-0033350109 (6)

© Università degli Studi di Roma "La Sapienza" - Piazzale Aldo Moro 5, 00185 Roma