DAVIDE BELLIZIA

PhD Graduate

PhD program:: XXX



Thesis title: Design Methodologies for Cryptographic Hardware with Countermeasures Against Channel Attacks.


Research products

11573/1673527 - 2023 - A Monostable Physically Unclonable Function Based on Improved RCCMs with 0–1.56% Native Bit Instability at 0.6–1.2 V and 0–75 °C
Della Sala, Riccardo; Bellizia, Davide; Centurelli, Francesco; Scotti, Giuseppe - 01a Articolo in rivista
paper: ELECTRONICS (Basel : MDPI) pp. 1-14 - issn: 2079-9292 - wos: WOS:000929280300001 (4) - scopus: (0)

11573/1654883 - 2022 - High-Throughput FPGA-Compatible TRNG Architecture Exploiting Multistimuli Metastable Cells
Della Sala, Riccardo.; Bellizia, Davide; Scotti, Giuseppe - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS (IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 445 HOES LANE, PISCATAWAY, USA, NJ, 08855) pp. 4886-4897 - issn: 1549-8328 - wos: WOS:000849236900001 (13) - scopus: 2-s2.0-85137586616 (16)

11573/1654877 - 2022 - A Lightweight {FPGA} Compatible Weak-{PUF} Primitive Based on {XOR} Gates
Della Sala, Riccardo; Bellizia, Davide; Scotti, Giuseppe - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. II, EXPRESS BRIEFS (Piscataway, NJ : Institute of Electrical and Electronics Engineers, c2004-) pp. 2972-2976 - issn: 1549-7747 - wos: WOS:000804726500084 (15) - scopus: 2-s2.0-85125734769 (17)

11573/1654880 - 2022 - A Novel Ultra-Compact {FPGA}-Compatible {TRNG} Architecture Exploiting Latched Ring Oscillators
Della Sala, Riccardo; Bellizia, Davide; Scotti, Giuseppe - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. II, EXPRESS BRIEFS (Piscataway, NJ : Institute of Electrical and Electronics Engineers, c2004-) pp. 1672-1676 - issn: 1549-7747 - wos: WOS:000770045800205 (26) - scopus: 2-s2.0-85118241366 (27)

11573/1557539 - 2021 - SC-DDPL as a countermeasure against static power side-channel attacks
Bellizia, Davide; Della Sala, Riccardo; Scotti, Giuseppe - 01a Articolo in rivista
paper: CRYPTOGRAPHY (Computer science and technology. Computing. Data processing) pp. - - issn: 2410-387X - wos: WOS:000699064900001 (0) - scopus: 2-s2.0-85109391760 (1)

11573/1568230 - 2021 - A novel ultra-compact FPGA PUF: The DD-PUF
Della Sala, Riccardo; Bellizia, Davide; Scotti, Giuseppe - 01a Articolo in rivista
paper: CRYPTOGRAPHY (Computer science and technology. Computing. Data processing) pp. - - issn: 2410-387X - wos: WOS:000699166200001 (10) - scopus: 2-s2.0-85114868770 (17)

11573/1532330 - 2020 - SC-DDPL. A novel standard-cell based approach for counteracting power analysis attacks in the presence of unbalanced routing
Bellizia, D.; Bongiovanni, S.; Olivieri, M.; Scotti, G. - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS (IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 445 HOES LANE, PISCATAWAY, USA, NJ, 08855) pp. 2317-2330 - issn: 1549-8328 - wos: WOS:000543971900015 (12) - scopus: 2-s2.0-85088267211 (16)

11573/1390723 - 2018 - TEL logic style as a countermeasure against side-channel attacks: secure cells library in 65nm CMOS and experimental results
Bellizia, D.; Scotti, G.; Trifiletti, A. - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS (IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 445 HOES LANE, PISCATAWAY, USA, NJ, 08855) pp. 3874-3884 - issn: 1549-8328 - wos: WOS:000446922100026 (11) - scopus: 2-s2.0-85051799862 (17)

11573/1506488 - 2018 - Secure implementation of TEL-compatible flip-flops using a standard-cell approach
Bellizia, D.; Scotti, G.; Trifiletti, A. - 04b Atto di convegno in volume
conference: 2018 IEEE International Symposium on Circuits and Systems, ISCAS 2018 (Florence; Italy)
book: Proceedings - IEEE International Symposium on Circuits and Systems - (978-1-5386-4881-0)

11573/1132796 - 2018 - Secure double rate registers as an RTL countermeasure against power analysis attacks
Bellizia, Davide; Bongiovanni, Simone; Monsurro', Pietro; Scotti, Giuseppe; Trifiletti, Alessandro; Trotta, Francesco Bruno - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 1368-1376 - issn: 1063-8210 - wos: WOS:000437031400014 (23) - scopus: 2-s2.0-85044744417 (28)

11573/874182 - 2017 - Univariate power analysis attacks exploiting static dissipation of nanometer CMOS VLSI circuits for cryptographic applications
Bellizia, Davide; Bongiovanni, Simone; Monsurro', Pietro; Trifiletti, Alessandro; Scotti, Giuseppe - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON EMERGING TOPICS IN COMPUTING (Piscataway: IEEE - Institute of Electrical and Electronics Engineers, Inc.) pp. 329-339 - issn: 2168-6750 - wos: WOS:000409342600004 (15) - scopus: 2-s2.0-85030118974 (23)

11573/1026452 - 2017 - Novel measurements setup for attacks exploiting static power using DC pico-ammeter
Bellizia, Davide; Cellucci, Danilo; Di Stefano, Valerio; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 2017 European Conference on Circuit Theory and Design (ECCTD) (Catania; Italy)
book: 2017 European Conference on Circuit Theory and Design (ECCTD) - (978-153863974-0)

11573/936336 - 2017 - Template attacks exploiting static power and application to CMOS lightweight crypto-hardware
Bellizia, Davide; Djukanovic, Milena; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: INTERNATIONAL JOURNAL OF CIRCUIT THEORY AND APPLICATIONS (Chichester ; New York, NY : John Wiley & Sons, Ltd.) pp. 229-241 - issn: 1097-007X - wos: WOS:000394580600007 (11) - scopus: 2-s2.0-85002835444 (13)

11573/1026453 - 2017 - VHDL implementation of FWL RLS algorithm
Bellizia, Davide; Monsurro', Pietro; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 2017 European Conference on Circuit Theory and Design (Catania; Italy)
book: 2017 European Conference on Circuit Theory and Design (ECCTD) - ()

11573/1026454 - 2017 - Fully integrable current-mode feedback suppressor as an analog countermeasure against CPA attacks in 40nm CMOS technology
Bellizia, Davide; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 13th Conference on Ph.D. Research in Microelectronics and Electronics, PRIME 2017 (Giardini Naxos - Taormina; Italy)
book: PRIME 2017 - 13th Conference on PhD Research in Microelectronics and Electronics, Proceedings - (9781509065073)

11573/967164 - 2017 - Multivariate Analysis Exploiting Static Power on Nanoscale CMOS Circuits for Cryptographic Applications
Djukanovic, Milena; Bellizia, Davide; Scotti, Giuseppe; Trifiletti, Alessandro - 02a Capitolo o Articolo
book: Progress in Cryptology - AFRICACRYPT 2017 - (978-3-319-57338-0; 978-3-319-57339-7)

11573/1026450 - 2017 - Design of low-voltage high-speed CML D-latches in nanometer CMOS technologies
Scotti, Giuseppe; Bellizia, Davide; Trifiletti, Alessandro; Palumbo, Gaetano - 01a Articolo in rivista
paper: IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (IEEE / Institute of Electrical and Electronics Engineers Incorporated:445 Hoes Lane:Piscataway, NJ 08854:(800)701-4333, (732)981-0060, EMAIL: subscription-service@ieee.org, INTERNET: http://www.ieee.org, Fax: (732)981-9667) pp. 3509-3520 - issn: 1063-8210 - wos: WOS:000416734700023 (23) - scopus: 2-s2.0-85030783712 (34)

11573/1026457 - 2016 - On-chip current-mode approach to thwart CPA attacks in CMOS nanometer technology
Bellizia, Davide; Scotti, Giuseppe; Trifiletti, Alessandro - 01a Articolo in rivista
paper: INTERNATIONAL JOURNAL OF MICROELECTRONICS AND COMPUTER SCIENCE (Łódź : Technical University. Department of Microelectronics and Computer Science) pp. 147-156 - issn: 2080-8755 - wos: (0) - scopus: (0)

11573/961545 - 2016 - On-chip analog current equalizer as a countermeasure against side-channel attacks in CMOS nanometer technology
Bellizia, Davide; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 23rd International Conference Mixed Design of Integrated Circuits and Systems, MIXDES 2016 (Lodz; Poland)
book: Proceedings of the 23rd International Conference Mixed Design of Integrated Circuits and Systems, MIXDES 2016 - (9788363578084)

11573/961547 - 2016 - Implementation of the present-80 block cipher and analysis of its vulnerability to side channel attacks exploiting static power
Bellizia, Davide; Scotti, Giuseppe; Trifiletti, Alessandro - 04b Atto di convegno in volume
conference: 23rd International Conference Mixed Design of Integrated Circuits and Systems, MIXDES 2016 (Lodz; Poland)
book: Proceedings of the 23rd International Conference Mixed Design of Integrated Circuits and Systems, MIXDES 2016 - (9788363578084)

© Università degli Studi di Roma "La Sapienza" - Piazzale Aldo Moro 5, 00185 Roma